DataSheet PC8548E PowerQUICCIIIIntegratedProcessor DatasheetDS0831 FEATURES * Embeddede500Core,InitialOfferingsupto1.2GHz - DualDispatchSuperscalar,7stagePipelineDesignwith outoforderIssueandExecution - 3065MIPSat1333MHz(EstimatedDhrystone2.1) * 36bitPhysicalAddressing * EnhancedHardwareandSoftwareDebugSupport * DoubleprecisionEmbeddedScalarandVectorFloatingpoint APUs * MemoryManagementUnit(MMU) * IntegratedL1/L2Cache - L1Cache32KBDataand32KBInstructionCachewith LinelockingSupport - L2Cache512KB(8WaySetAssociative);512KB/256 KB/128KB/64KBCanBeUsedAsSRAM - L1andL2HardwareCoherency - L2ConfigurableAsSRAM,CacheandI/OTransactions CanBeStashedIntoL2CacheRegions * IntegratedDDRMemoryControllerWithFullECCSupport, Supporting: - 200MHzClockRate(400MHzDataRate),64bit, 2.5V/2.6VI/O,DDRSDRAM * IntegratedSecurityEngineSupportingDES,3DES,MD5,SHA 1/2,AES,RSA,RNG,KasumiF8/F9andARC4Encryption Algorithms * FourOnchipTriplespeedEthernetControllers(GMACs) Supporting10and100Mbps,and1Gbps Ethernet/IEEE*802.3NetworkswithMII,RMII,GMII,RGMII, RTBIandTBIPhysicalInterfaces - TCP/IPChecksumAcceleration - AdvancedQoSFeatures * GeneralpurposeI/O(GPIO) * SerialRapidIOandPCIExpressHighspeedInterconnect Interfaces,Supporting - Singlex8PCIExpress,orSinglex4PCIExpressand Single4xSerialRapidIO * OnchipNetwork(OCeaN)SwitchFabric * MultiplePCIInterfaceSupport - 64bitPCI2.2BusController(Upto66MHz,3.3VI/O) - 64bitPCIXBusController(Upto133MHz,3.3VI/O), orFlexibilitytoConfigureTwo32bitPCIControllers * 166MHz,32bit,3.3VI/O,LocalBuswithMemory Controller * IntegratedFourchannelDMAController * DualI2CandDualUniversalAsynchronous Receiver/Transmitter(DUAR)Support * ProgrammableInterruptController(PIC),IEEE1149.1JTAG TestAccessPort * 1.1VCoreVoltagewith3.3Vand2.5VI/O,783pinHITCE andPBGAPackages DESCRIPTION ThePC8548EcontainsaPowerArchitecture TM processor core.ThePC8548Eintegratesaprocessorthatimplements thePowerArchitecturewithsystemlogicrequiredfornet working, storage, and generalpurpose embedded applications.Forfunctionalcharacteristicsoftheprocessor, refertothePC8548EIntegratedProcessorPreliminaryRef erenceManual. SCREENING * FullMilitaryTemperatureRange(TC=-55C,TJ=+125C) * IndustrialTemperatureRange(TC=-40C,TJ=+110C) Whilste2vtechnologieshastakencaretoensuretheaccuracyoftheinformationcontainedhereinitacceptsnoresponsibilityfortheconsequencesofany usethereofandalsoreservestherighttochangethespecificationofgoodswithoutnotice.e2vtechnologiesacceptsnoliabilitybeyondthesetoutinits standard conditions of sale in respect of infringement of third party patents arising from the use of devices in accordance with information contained herein. e2vtechnologies(uk)limited,WaterhouseLane,Chelmsford,EssexCM12QUUnitedKingdomHoldingCompany:e2vtechnologiesplc Telephone:+44(0)1245493493Facsimile:+44(0)1245492492 Contacte2vbyemail:enquiries@e2v.comorvisitwww.e2v.comforglobalsalesandoperationscentres. (c)e2vtechnologies(uk)limited2016 0831O-HIREL-01/16 PC8548E 1. PC8548EARCHITECTUREGENERALOVERVIEW Figure11. PC8548EBlockDiagram DDR SDRAM DDR/DDR2/ Memory Controller Security Engine Flash SDRAM GPIO Local Bus Controller XOR Engine Programmable Interrupt Controller (PIC) IRQs Serial I2C I2 C Controller I2C I2 C Controller MII, GMII, TBI, RTBI, RGMII, RMII eTSEC MII, GMII, TBI, RTBI, RGMII, RMII MII, GMII, TBI, RTBI, RGMII, RMII RTBI, RGMII, RMII 1.1 DUART 10/100/1Gb eTSEC 512-Kbyte L2 Cache/ SRAM e500 Core e500 Coherency Module Core Complex Bus 32-Kbyte L1 Instruction Cache Serial RapidIOTM or PCI Express OceaN Switch Fabric 32-Kbyte L1 Data Cache 4x RapidlO x8 PCI Express 32-bit PCI Bus Interface (If 64-bit not used) PCI 32-bit 66 MHz 32-bit PCI/ 64-bit PCI/PCI-X Bus Interface PCI/PCI-X 133 MHz 10/100/1Gb eTSEC 10/100/1Gb eTSEC 10/100/1Gb 4-Channel DMA Controller FeaturesOverview ThefollowinglistprovidesanoverviewofthePC8548Efeatureset: * Highperformance32bitBookE-enhancedcorethatimplementsthePowerArchitecture - 32KbyteL1instructioncacheand32KbyteL1datacachewithparityprotection.Caches canbelockedentirelyoronaperlinebasis,withseparatelockingforinstructionsanddata - Signalprocessingengine(SPE)APU(auxiliaryprocessingunit).Providesanextensive instructionsetforvector(64bit)integerandfractionaloperations.Theseinstructionsuse boththeupperandlowerwordsofthe64bitGPRsastheyaredefinedbytheSPEAPU - DoubleprecisionfloatingpointAPU.Providesaninstructionsetfordoubleprecision(64 bit)floatingpointinstructionsthatusethe64bitGPRs - 36bitrealaddressing - EmbeddedvectorandscalarsingleprecisionfloatingpointAPUs.Provideaninstructionset forsingleprecision(32bit)floatingpointinstructions - Memorymanagementunit(MMU).Especiallydesignedforembeddedapplications. Supports4Kbyte-4Gbytepagesizes 2 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E - Enhancedhardwareandsoftwaredebugsupport - Performancemonitorfacilitythatissimilarto,butseparatefrom,thePC8548Eperformance monitor Thee500definesfeaturesthatarenotimplementedonthisdevice.Italsogenerallydefinessomefeatures thatthisdeviceimplementsmorespecifically.Anunderstandingofthesedifferencescanbecriticaltoensure properoperations. * 512KbyteL2cache/SRAM - Flexibleconfiguration - FullECCsupporton64bitboundaryinbothcacheandSRAMmodes - Cachemodesupportsinstructioncaching,datacaching,orboth - Externalmasterscanforcedatatobeallocatedintothecachethroughprogrammed memoryrangesorspecialtransactiontypes(stashing) - 1,2,or4wayscanbeconfiguredforstashingonly - Eightwaysetassociativecacheorganization(32bytecachelines) - Supportslockingentirecacheorselectedlines.Individuallinelocksaresetandcleared throughBookEinstructionsorbyexternallymasteredtransactions - GloballockingandflashclearingdonethroughwritestoL2configurationregisters - Instructionanddatalockscanbeflashclearedseparately - SRAMfeaturesincludethefollowing: - I/OdevicesaccessSRAMregionsbymarkingtransactionsassnoopable(global) - Regionscanresideatanyalignedlocationinthememorymap - ByteaccessibleECCisprotectedusingreadmodifywritetransactionaccessesforsmaller thancachelineaccesses * Addresstranslationandmappingunit(ATMU) - Eightlocalaccesswindowsdefinemappingwithinlocal36bitaddressspace - InboundandoutboundATMUsmaptolargerexternaladdressspaces - ThreeinboundwindowsplusaconfigurationwindowonPCI/PCIXandPCIExpress - FourinboundwindowsplusadefaultwindowonRapidIO - FouroutboundwindowsplusdefaulttranslationforPCI/PCIXandPCIExpress - EightoutboundwindowsplusdefaulttranslationforRapidIOwithsegmentationandsub segmentationsupport * DDR/DDR2memorycontroller - ProgrammabletimingsupportingDDRandDDR2SDRAM - 64bitdatainterface - Fourbanksofmemorysupported,eachupto4Gbytes,toamaximumof16Gbytes - DRAMchipconfigurationsfrom64Mbitsto4Gbitswithx8/x16dataports - FullECCsupport - Pagemodesupport - Upto16simultaneousopenpagesforDDR 3 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E - Upto32simultaneousopenpagesforDDR2 - Contiguousordiscontiguousmemorymapping - ReadmodifywritesupportforRapidIOatomicincrement,decrement,set,andclear transactions - SleepmodesupportforselfrefreshSDRAM - OndieterminationsupportwhenusingDDR2 - Supportsautorefreshing - OntheflypowermanagementusingCKEsignal - RegisteredDIMMsupport - FastmemoryaccessviaJTAGport - 2.5VSSTL_2compatibleI/O(1.8VSSTL_1.8forDDR2) - Supportforbatterybackedmainmemory * Programmableinterruptcontroller(PIC) - ProgrammingmodeliscompliantwiththeOpenPICarchitecture - Supports16programmableinterruptandprocessortaskprioritylevels - Supports12discreteexternalinterrupts - Supports4messageinterruptswith32bitmessages - Supportsconnectionofanexternalinterruptcontrollersuchasthe8259programmable interruptcontroller - Fourglobalhighresolutiontimers/countersthatcangenerateinterrupts - Supportsavarietyofotherinternalinterruptsources - Supportsfullynestedinterruptdelivery - Interruptscanberoutedtoexternalpinforexternalprocessing - Interruptscanberoutedtothee500core'sstandardorcriticalinterruptinputs - Interruptsummaryregistersallowfastidentificationofinterruptsource * Integratedsecurityengine(SEC)optimizedtoprocessallthealgorithmsassociatedwithIPSec,IKE, WTLS/WAP,SSL/TLS,and3GPP - Fourcryptochannels,eachsupportingmulticommanddescriptorchains - Dynamicassignmentofcryptoexecutionunitsviaanintegratedcontroller - Buffersizeof256bytesforeachexecutionunit,withflowcontrolforlargedatasizes - PKEU:publickeyexecutionunit - RSAandDiffieHellman;programmablefieldsizeupto2048bits - EllipticcurvecryptographywithF2mandF(p)modesandprogrammablefieldsizeupto511bits - DEU:DataEncryptionStandardexecutionunit - DES,3DES - Twokey(K1,K2,K1)orthreekey(K1,K2,K3) - ECBandCBCmodesforbothDESand3DES - AESU:AdvancedEncryptionStandardunit - ImplementstheRijndaelsymmetrickeycipher 4 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E - ECB,CBC,CTR,andCCMmodes - 128,192,and256bitkeylengths - AFEU:ARCfourexecutionunit - ImplementsastreamciphercompatiblewiththeRC4algorithm - 40to128bitprogrammablekey - MDEU:messagedigestexecutionunit - SHAwith160or256bitmessagedigest - MD5with128bitmessagedigest - HMACwitheitheralgorithm - KEU:Kasumiexecutionunit - ImplementsF8algorithmforencryptionandF9algorithmforintegritychecking - AlsosupportsA5/3andGEA3algorithms - RNG:randomnumbergenerator - XORengineforparitycheckinginRAIDstorageapplications * DualI2Ccontrollers - Twowireinterface - Multiplemastersupport - MasterorslaveI2Cmodesupport - Onchipdigitalfilteringrejectsspikesonthebus * Bootsequencer - OptionallyloadsconfigurationdatafromserialROMatresetviatheI2Cinterface - Canbeusedtoinitializeconfigurationregistersand/ormemory - SupportsextendedI2Caddressingmode - DataintegritycheckedwithpreamblesignatureandCRC * DUART - Two4wireinterfaces(SIN,SOUT,RTS,CTS) - Programmingmodelcompatiblewiththeoriginal16450UARTandthePC16550D * Localbuscontroller(LBC) - Multiplexed32bitaddressanddatabusoperatingatupto133MHz - Eightchipselectssupporteightexternalslaves - Uptoeightbeatbursttransfers - The32,16,and8bitportsizesarecontrolledbyanonchipmemorycontroller - Threeprotocolenginesavailableonaperchipselectbasis: - Generalpurposechipselectmachine(GPCM) - Threeuserprogrammablemachines(UPMs) - DedicatedsingledatarateSDRAMcontroller - Paritysupport - DefaultbootROMchipselectwithconfigurablebuswidth(8,16,or32bits) 5 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E * FourenhancedthreespeedEthernetcontrollers(eTSECs) - Threespeedsupport(10/100/1000Mbps) - FourIEEE802.3,802.3u,802.3x,802.3z,802.3ac,802.3abcompliantcontrollers - SupportforvariousEthernetphysicalinterfaces: - 1000MbpsfullduplexIEEE802.3GMII,IEEE802.3zTBI,RTBI,andRGMII - 10/100MbpsfullandhalfduplexIEEE802.3MII,IEEE802.3RGMII,andRMI - FlexibleconfigurationformultiplePHYinterfaceconfigurations - TCP/IPaccelerationandQoSfeaturesavailable - IPv4andIPv6headerrecognitiononreceive - IPv4headerchecksumverificationandgeneration - TCPandUDPchecksumverificationandgeneration - Perpacketconfigurableacceleration - RecognitionofVLAN,stacked(queueinqueue)VLAN,802.2,PPPoEsession,MPLSstacks,and ESP/AHIPsecurityheaders - SupportedinallFIFOmodes - Qualityofservicesupport: - Transmissionfromuptoeightphysicalqueues - Receptiontouptoeightphysicalqueues - FullandhalfduplexEthernetsupport(1000Mbpssupportsonlyfullduplex): - EEE802.3fullduplexflowcontrol(automaticPAUSEframegenerationorsoftwareprogrammed PAUSEframegenerationandrecognition) - Programmablemaximumframelengthsupportsjumboframes(upto9.6Kbytes)andIEEE 802.1virtuallocalareanetwork(VLAN)tagsandpriority - VLANinsertionanddeletion - PerframeVLANcontrolwordordefaultVLANforeacheTSEC - ExtractedVLANcontrolwordpassedtosoftwareseparately - Retransmissionfollowingacollision - CRCgenerationandverificationofinbound/outboundframes - ProgrammableEthernetpreambleinsertionandextractionofupto7bytes - MACaddressrecognition: - Exactmatchonprimaryandvirtual48bitunicastaddresses - VRRPandHSRPsupportforseamlessrouterfailover - Upto16exactmatchMACaddressessupported - Broadcastaddress(accept/reject) - Hashtablematchonupto512multicastaddresses - Promiscuousmode - BufferdescriptorsbackwardcompatiblewithPC8260andPC860T10/100Ethernet programmingmodels - RMONstatisticssupport 6 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E - 10Kbyteinternaltransmitand2KbytereceiveFIFOs - MIImanagementinterfaceforcontrolandstatus - AbilitytoforceallocationofheaderinformationandbufferdescriptorsintoL2cache * OCeaNswitchfabric - Fullcrossbarpacketswitch - Reorderspacketsfromasourcebasedonpriorities - Reorderspacketstobypassblockedpackets - Implementsstarvationavoidancealgorithms - Supportspacketswithpayloadsofupto256bytes * IntegratedDMAcontroller - Fourchannelcontroller - Allchannelsaccessiblebyboththelocalandremotemasters - ExtendedDMAfunctions(advancedchainingandstridingcapability) - Supportforscatterandgathertransfers - Misalignedtransfercapability - Interruptoncompletedsegment,link,list,anderror - SupportstransferstoorfromanylocalmemoryorI/Oport - Selectablehardwareenforcedcoherency(snoop/nosnoop) - AbilitytostartandflowcontroleachDMAchannelfromexternal3pininterface - AbilitytolaunchDMAfromsinglewritetransaction * TwoPCI/PCIXcontrollers - PCI2.2andPCIX1.0compatible - One32/64bitPCI/PCIXportwithsupportforspeedsofupto133MHz(maximumPCIX frequencyinsynchronousmodeis110MHz) - One32bitPCIportwithsupportforspeedsfrom16to66MHz(availablewhentheother portisin32bitmode) - Hostandagentmodesupport - 64bitdualaddresscycle(DAC)support - PCIXsupportsmultiplesplittransactions - SupportsPCItomemoryandmemorytoPCIstreaming - MemoryprefetchingofPCIreadaccesses - SupportspostingofprocessortoPCIandPCItomemorywrites - PCI3.3Vcompatible - Selectablehardwareenforcedcoherency * SerialRapidIOinterfaceunit - SupportsRapidIOInterconnectSpecification,Revision1.2 - Both1xand4xLPseriallinkinterfaces - Longandshorthaulelectricalswithselectableprecompensation 7 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E - Transmissionratesof1.25,2.5,and3.125Gbaud(dataratesof1.0,2.0,and2.5Gbps)per lane - Autodetectionof1xand4xmodeoperationduringportinitialization - Linkinitializationandsynchronization - Largeandsmallsizetransportinformationfieldsupportselectableatinitializationtime - 34bitaddressing - Upto256bytesdatapayload - Alltransactionflowsandpriorities - Atomicset/clr/inc/decforreadmodifywriteoperations - GenerationofIO_READ_HOMEandFLUSHwithdataforaccessingcachecoherentdataata remotememorysystem - Receivercontrolledflowcontrol - Errordetection,recovery,andtimeoutforpacketsandcontrolsymbolsasrequiredbythe RapidIOspecification - RegisterandregisterbitextensionsasdescribedinpartVIII(ErrorManagement)ofthe RapidIOspecification - Hardwarerecoveryonly - Registersupportisnotrequiredforsoftwaremediatederrorrecovery - Acceptallmodeofoperationforfailoversupport - SupportforRapidIOerrorinjection - InternalLPserialandapplicationinterfacelevelloopbackmodes - MemoryandPHYBISTforatspeedproductiontest * RapidIO-compliantmessageunit - 4Kbytesofpayloadpermessage - Uptosixteen256bytesegmentspermessage - Twoinbounddatamessagestructureswithintheinbox - Capableofreceivingthreelettersatanymailbox - Twooutbounddatamessagestructureswithintheoutbox - Capableofsendingthreeletterssimultaneously - Singlesegmentmulticasttoupto32devIDs - Chaininganddirectmodesintheoutbox - Singleinbounddoorbellmessagestructure - Facilitytoacceptportwritemessages * PCIExpressinterface - PCIExpress1.0acompatible - Supportsx8,x4,x2,andx1linkwidths - Autodetectionofnumberofconnectedlanes 8 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E - Selectableoperationasrootcomplexorendpoint - Both32and64bitaddressing - 256bytemaximumpayloadsize - Virtualchannel0only - Trafficclass0only - Full64bitdecodewith32bitwidewindows * PinmultiplexingforthehighspeedI/Ointerfacessupportsoneofthefollowingconfigurations - x8PCIExpress - x4PCIExpressand4xserialRapidIO * Powermanagement - Supportspowersavingmodes:doze,nap,andsleep - Employsdynamicpowermanagement,whichautomaticallyminimizespowerconsumption ofblockswhentheyareidle * Systemperformancemonitor - Supportseight32bitcountersthatcounttheoccurrenceofselectedevents - Abilitytocountupto512counterspecificevents - Supports64referenceeventsthatcanbecountedonanyoftheeightcounters - Supportsdurationandquantitythresholdcounting - Burstinessfeaturethatpermitscountingofbursteventswithaprogrammabletime betweenbursts - Triggeringandchainingcapability - Abilitytogenerateaninterruptonoverflow * Systemaccessport - UsesJTAGinterfaceandaTAPcontrollertoaccessentiresystemmemorymap - Supports32bitaccessestoconfigurationregisters - Supportscachelineburstaccessestomainmemory - Supportslargeblock(4Kbyte)uploadsanddownloads - Supportscontinuousbitstreamingofentireblockforfastuploadanddownload * IEEE1149.1compliant,JTAGboundaryscan * 783HITCEpackage 2. ELECTRICALCHARACTERISTICS ThissectionprovidestheACandDCelectricalspecificationsandthermalcharacteristicsforthe PC8548E.Thisdeviceiscurrentlytargetedtothesespecifications.Someofthesespecificationsare independentoftheI/Ocell,butareincludedforamorecompletereference.ThesearenotpurelyI/O bufferdesignspecifications. 2.1 OverallDCElectricalCharacteristics Thissectioncoverstheratings,conditions,andothercharacteristics. 9 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E 2.2 DetailedSpecification ThisspecificationdescribesthespecificrequirementsforthemicroprocessorPC8548Eincompliance withe2vstandardscreening. 2.3 ApplicableDocuments 1. MILSTD883:Testmethodsandproceduresforelectronics 2. MILPRF38535:AppendixA:Generalspecificationsformicrocircuits ThemicrocircuitsareinaccordancewiththeapplicabledocumentsandasspecifiedTable21. 2.3.1 AbsoluteMaximumRatings Table21providestheabsolutemaximumratings. Table21. AbsoluteMaximumRatings(1) Characteristic Symbol MaxValue Unit Notes Coresupplyvoltage VDD -0.3to1.21 V - PLLsupplyvoltage AVDD -0.3to1.21 V - CorepowersupplyforSerDestransceivers SVDD -0.3to1.21 V - PadpowersupplyforSerDestransceivers XVDD -0.3to1.21 V - DDRandDDR2DRAMI/Ovoltage GVDD -0.3to2.75 -0.3to1.98 V - LVDD(foreTSEC1 andeTSEC2) -0.3to3.63 -0.3to2.75 V (3) TVDD(foreTSEC3 andeTSEC4) -0.3to3.63 -0.3to2.75 V (3) PCI/PCIX,DUART,systemcontrolandpowermanagement,I2C,Ethernet MIImanagement,andJTAGI/Ovoltage OVDD -0.3to3.63 V (3) LocalbusI/Ovoltage BVDD -0.3to3.63 -0.3to2.75 V (3) DDR/DDR2DRAMsignals MVIN -0.3to(GVDD+0.3) V (4) DDR/DDR2DRAMreference MVREF -0.3to(GVDD/2+0.3) V - ThreespeedEthernetI/Osignals LVIN TVIN -0.3to(LVDD+0.3) -0.3to(TVDD+0.3) V (4) Localbussignals BVIN -0.3to(BVDD+0.3) - - DUART,SYSCLK,systemcontrolandpowermanagement, I2C,EthernetMIImanagement,andJTAGsignals OVIN -0.3to(OVDD+0.3) V (4) PCI/PCIX OVIN -0.3to(OVDD+0.3) V (4) Storagetemperaturerange TSTG -55to150 C - ThreespeedEthernetI/Ovoltage Inputvoltage Notes: 1. FunctionalandtestedoperatingconditionsaregiveninTable22.Absolutemaximumratingsarestressratingsonly,and functionaloperationatthemaximumsisnotguaranteed.Stressesbeyondthoselistedmayaffectdevicereliabilityor causepermanentdamagetothedevice. 2. The-0.3to2.75VrangeisforDDRand-0.3to1.98VrangeisforDDR2. 10 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E 3. The3.63VmaximumisonlysupportedwhentheportisconfiguredinGMII,MII,RMII,orTBImodes;otherwisethe2.75 Vmaximumapplies.SeeSection8.2"FIFO,GMII,MII,TBI,RGMII,RMII,andRTBIACTimingSpecifications"onpage27for detailsontherecommendedoperatingconditionsperprotocol. 4. (M,L,O)VINmayovershoot/undershoottoavoltageandforamaximumdurationasshowninFigure21. 2.3.2 RecommendedOperatingConditions Table22providestherecommendedoperatingconditionsforthisdevice.NotethatthevaluesinTable 22aretherecommendedandtestedoperatingconditions.Properdeviceoperationoutsidethese conditionsisnotguaranteed. Table22. RecommendedOperatingConditions Characteristic Symbol RecommendedValue Unit Coresupplyvoltage VDD 1.1V55mV V PLLsupplyvoltage AVDD 1.1V55mV V CorepowersupplyforSerDestransceivers SVDD 1.1V55mV V PadpowersupplyforSerDestransceivers XVDD 1.1V55mV V DDRandDDR2DRAMI/Ovoltage GVDD 2.5V125mV 1.8V90mV V LVDD 3.3V165mV 2.5V125mV V (4) TVDD 3.3V165mV 2.5V125mV V (4) PCI/PCIX,DUART,systemcontrolandpowermanagement,I2C,EthernetMII management,andJTAGI/Ovoltage OVDD 3.3V165mV V (3) LocalbusI/Ovoltage BVDD 3.3V165mV 2.5V125mV V DDRandDDR2DRAMsignals MVIN GNDtoGVDD V (2) DDRandDDR2DRAMreference MVREF GNDtoGVDD/2 V (2) ThreespeedEthernetsignals LVIN TVIN GNDtoLVDD GNDtoTVDD V (4) Localbussignals BVIN GNDtoBVDD V PCI,DUART,SYSCLK,systemcontrolandpower management,I2C,EthernetMIImanagement, andJTAGsignals OVIN GNDtoOVDD V TC,TJ TC=-55CtoTJ=125C C ThreespeedEthernetI/Ovoltage Inputvoltage OperatingTemperaturerange Notes (1) (3) Notes: 1. ThisvoltageistheinputtothefilterdiscussedinSection22.2.1"PLLPowerSupplyFiltering"onpage104andnot necessarilythevoltageattheAVDDpin,whichmaybereducedfromVDDbythefilter. 2. Caution:MVINmustnotexceedGVDDbymorethan0.3V.Thislimitmaybeexceededforamaximumof20msduring poweronresetandpowerdownsequences. 3. Caution:OVINmustnotexceedOVDDbymorethan0.3V.Thislimitmaybeexceededforamaximumof20msduring poweronresetandpowerdownsequences. 4. Caution:L/TVINmustnotexceedL/TVDDbymorethan0.3V.Thislimitmaybeexceededforamaximumof20msduring poweronresetandpowerdownsequences. 11 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E Figure21 showstheundershootandovershootvoltagesattheinterfacesofthePC8548E. Figure21. Overshoot/UndershootVoltageforGVDD/OVDD/LVDD/BVDD B/G/L/OVDD + 20% VIH B/G/L/OVDD + 5% B/G/L/OVDD VIL GND GND - 0.3V GND - 0.7V Not to exceed 10% of tCLOCK (1) Notes: 1. tCLOCKreferstotheclockperiodassociatedwiththerespectiveinterface: ForI2CandJTAG,tCLOCKreferencesSYSCLK. ForDDR,tCLOCKreferencesMCLK. ForeTSEC,tCLOCKreferencesEC_GTX_CLK125. ForLBIU,tCLOCKreferencesLCLK. ForPCI,tCLOCKreferencesPCIn_CLKorSYSCLK. ForSerDes,tCLOCKreferencesSD_REF_CLK. 2. PleasenotethatwiththePCIovershootallowed(asspecifiedabove),thedevicedoesnotfully complywiththemaximumACratingsanddeviceprotectionguidelineoutlinedinthePCIrev.2.2 standard(section4.2.2.3). Thecorevoltagemustalwaysbeprovidedatnominal1.1V.(SeeTable22foractualrecommended corevoltage).VoltagetotheprocessorinterfaceI/Osareprovidedthroughseparatesetsofsupplypins andmustbeprovidedatthevoltagesshowninTable22.Theinputvoltagethresholdscaleswith respecttotheassociatedI/Osupplyvoltage.OVDD andLVDD basedreceiversaresimpleCMOSI/O circuitsandsatisfyappropriateLVCMOStypespecifications.TheDDRSDRAMinterfaceusesasingle endeddifferentialreceiverreferencedtheexternallysuppliedMVREFsignal(nominallysettoGVDD/2)as isappropriatefortheSSTL2electricalsignalingstandard. 12 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E 2.3.3 OutputDriverCharacteristics Table23providesinformationonthecharacteristicsoftheoutputdriverstrengths.Thevaluesare preliminaryestimates. Table23. OutputDriveCapability DriverType Localbusinterfaceutilitiessignals PCIsignals ProgrammableOutput Impedance() SupplyVoltage Notes 25 25 BVDD=3.3V BVDD=2.5V (1) 45(default) 45(default) BVDD=3.3V BVDD=2.5V 25 45(default) OVDD=3.3V (2) DDRsignal 18 36(halfstrengthmode) GVDD=2.5V (3) DDR2signal 18 36(halfstrengthmode) GVDD=1.8V (3) TSEC/10/100signals 45 L/TVDD=2.5/3.3V DUART,systemcontrol,JTAG 45 OVDD=3.3V 150 OVDD=3.3V 2 IC Notes: 1. Thedrivestrengthofthelocalbusinterfaceisdeterminedbytheconfigurationoftheappropriatebits inPORIMPSCR. 2. ThedrivestrengthofthePCIinterfaceisdeterminedbythesettingofthePCI_GNT1signalatreset. 3. ThedrivestrengthoftheDDRinterfaceinhalfstrengthmodeisatTC=105CandatGVDD(min). 2.4 PowerSequencing Thedevicerequiresitspowerrailstobeappliedinaspecificsequenceinordertoensureproperdevice operation.Theserequirementsareasfollowsforpowerup: 1. VDD,AVDD_n,BVDD,LVDD,OVDD,SVDD,TVDD,XVDD 2. GVDD Allsuppliesmustbeattheirstablevalueswithin50ms. Notes: 1. Itemsonthesamelinehavenoorderingrequirementwithrespecttooneanother.Itemsonseparate linesmustbeorderedsequentiallysuchthatvoltagerailsonapreviousstepmustreach90%oftheir valuebeforethevoltagerailsonthecurrentstepreach10%oftheirs. 2. InordertoguaranteeMCKElowduringpowerup,theabovesequencingforGVDDisrequired.Ifthere isnoconcernaboutanyoftheDDRsignalsbeinginanindeterminatestateduringpowerup,thenthe sequencingforGVDDisnotrequired. 13 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E 3. POWERCHARACTERISTICS Theestimatedtypicalpowerdissipationforthecorecomplexbus(CCB)versusthecorefrequencyfor thisfamilyofPowerQUICCIIIdevicesisshowninTable31. Table31. PC8548EPowerDissipation(1) (1) CCBFrequency CoreFrequency 400 533 SLEEP (2) (3) Typical65 (4) Typical105 Maximum(5) at110C Maximum(6) at125C 800 2.7 4.6 7.5 8.1 11 1000 2.7 5.0 7.9 8.5 11.6 1200 2.7 5.4 8.3 9.9 11.9 1333 6.2 7.9 10.8 12.8 12.8 Unit W W Notes: 1. CCBFrequencyistheSoCplatformfrequency,whichcorrespondstotheDDRdatarate. 2. SLEEPisbasedonVDD=1.1V,TJ=65C. 3. Typical65isbasedonVDD=1.1V,TJ=65C,runningDhrystone. 4. Typical105isbasedonVDD=1.1V,TJ=105C,runningDhrystone. 5. MaximumisbasedonVDD=1.1V,TJ=110C,runningasmoketest. 6. MaximumisbasedonVDD=1.1V,TJ=125C,runningasmoketest. Atallowablevoltagelevels,theestimatedpowerdissipationonthe1.1VAVDDsuppliesforthePC8548E PLLsisshowninTable32. BecauseI/Ousagevariesfromdesigntodesign,forpowerdissipationestimatesontheG/L/OVDD powerrails,refertothePowerQUICCIIII/Opowercalculator. Table32. Interface DDR PC8548EEstimatedI/OPowerDissipation 1.8V(GVDD) 2.5V (B/G/L/TVDD) 266MHzdata 0.31W 0.59W 333MHzdata 0.38W 0.73W 400MHzdata 0.46W 533MHzdata 0.60W Parameters 1.1V(XVDD) 3.3V (B/L/O/TVDD) PCIExpress x8,2.5Gbaud 0.71W SerialRapidIO x4,3.125Gbaud 0.49W PCIX 64bit,133MHz 0.25W 64bit,66MHz 0.14W 64bit,33MHz 0.08W 32bit,66MHz 0.07W 32bit,33MHz 0.04W PCI LocalBus 32bit,133MHz 0.14W 0.24W 32bit,66MHz 0.07W 0.13W 32bit,33MHz 0.04W 0.07W Comments PowerperPCI port 14 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E Table32. PC8548EEstimatedI/OPowerDissipation(Continued) Interface Parameters eTSEC (10/100/1000 Ethernet) eTSEC(packet FIFO) 4. 4.1 1.1V(XVDD) 2.5V (B/G/L/TVDD) 1.8V(GVDD) 3.3V (B/L/O/TVDD) MII 0.01W GMII 0.07W TBI 0.07W RGMII 0.04W RTBI 0.04W 16bit,200MHz 0.20W 16bit,155MHz 0.16W 8bit,200MHz 0.11W 8bit,155MHz 0.08W Comments Powerper eTSECused PowerperFIFO interfaceused INPUTCLOCKS SystemClockTiming Table41providesthesystemclock(SYSCLK)ACtimingspecificationsforthePC8548E. Table41. SYSCLKACTimingSpecifications(AtRecommendedOperatingConditionswithOVDD=3.3V165mV,seeTable22) Parameter/Condition Symbol Min Typical Max Unit Notes SYSCLKfrequency fSYSCLK 16 - 133 MHz (1)(6)(7)(8) SYSCLKcycletime tSYSCLK 7.5 - 60 ns (6)(7)(8) SYSCLKriseandfalltime tKH,tKL 0.6 1.0 1.2 ns (2) tKHK/tSYSCLK 40 - 60 % (3) - - - 150 ps (4)(5) SYSCLKdutycycle SYSCLKjitter Notes: 1. Caution:TheCCBclocktoSYSCLKratioande500coretoCCBclockratiosettingsmustbechosensuchSYSCLKfrequency, e500(core)frequency,andCCBclockfrequencydonotexceedtheirrespectivemaximumoperatingfrequencies.Referto Section20.2"CCB/SYSCLKPLLRatio"onpage99"andSection20.3"e500CorePLLRatio"onpage101,forratiosettings. 2. RiseandfalltimesforSYSCLKaremeasuredat0.6Vand2.7V. 3. Timingisguaranteedbydesignandcharacterization. 4. Thisrepresentsthetotalinputjitter-shorttermandlongterm-andisguaranteedbydesign. 5. TheSYSCLKdriver'sclosedloopjitterbandwidthshouldbe<500kHzat-20dB.Thebandwidthmustcascadeconnected PLLbaseddevicestotrackSYSCLKdriverswiththespecifiedjitter. 6. ThisparameterhasbeenadjustedsloweraccordingtotheworkaroundfordeviceerratumGEN13. 7. Forspreadspectrumclocking.Guidelinesare+0%to-1%downspreadatmodulationratebetween20and60kHzon SYSCLK. 8. Systemwithoperatingcorefrequencylessthan1200MHzmustlimitSYSCLKfrequencyto100MHzmaximum. 15 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E 4.2 RealTimeClockTiming TheRTCinputissampledbytheplatformclock(CCBclock).Theoutputofthesamplinglatchisthen usedasaninputtothecountersofthePICandtheTimeBaseunitofthee500.Thereisnojitter specification.TheminimumpulsewidthoftheRTCsignalshouldbegreaterthan2xtheperiodofthe CCBclock.Thatis,minimumclockhightimeis2xtCCB,andminimumclocklowtimeis2xtCCB.Thereis nominimumRTCfrequency;RTCmaybegroundedifnotneeded. 4.3 eTSECGigabitReferenceClockTiming Table42providestheeTSECgigabitreferenceclocks(EC_GTX_CLK125)ACtimingspecificationsforthe PC8548E. Table42. EC_GTX_CLK125ACTimingSpecifications Parameter/Condition Symbol Min Typical Max Unit EC_GTX_CLK125frequency fG125 - 125 - MHz EC_GTX_CLK125cycletime tG125 - 8 - ns - 0.75 1.0 ns (1) % (2)(3) EC_GTX_CLK125riseandfalltime L/TVDD=2.5V L/TVDD=3.3V tG125R/tG125F EC_GTX_CLK125dutycycle GMII,TBI 1000BaseTforRGMII,RTBI tG125H/tG125 Note: 45 47 - 55 53 Notes 1. RiseandfalltimesforEC_GTX_CLK125aremeasuredfrom0.5Vand2.0VforL/TVDD=2.5V,andfrom0.6and2.7Vfor L/TVDD=3.3V. 2. Timingisguaranteedbydesignandcharacterization. 3. EC_GTX_CLK125isusedtogeneratetheGTXclockTSECn_GTX_CLKfortheeTSECtransmitterwith2%degradation. EC_GTX_CLK125dutycyclecanbeloosenedfrom47/53%aslongasthePHYdevicecantoleratethedutycyclegenerated bytheTSECn_GTX_CLK.SeeSection8.2.6"RGMIIandRTBIACTimingSpecifications"onpage36fordutycyclefor 10BaseTand100BaseTreferenceclock. 4.4 PCI/PCIXReferenceClockTiming WhenthePCI/PCIXcontrollerisconfiguredforasynchronousoperation,thereferenceclockforthe PCI/PCIxcontrollerisnottheSYSCLKinput,butinsteadthePCIn_CLK.Table43providesthePCI/PCIX referenceclockACtimingspecificationsforthePC8548E. Table43. PCIn_CLKACTimingSpecifications(AtRecommendedOperatingConditionswithOVDD=3.3V165mV,seeTable2 2) Parameter/Condition Symbol Min Typical Max Unit PCIn_CLKfrequency fPCICLK 16 - 133 MHz PCIn_CLKcycletime tPCICLK 7.5 - 60 ns tPCIKH,tPCIKL 0.6 1.0 2.1 ns (1)(2) tPCIKHKL/tPCICLK 40 - 60 % (2) PCIn_CLKriseandfalltime PCIn_CLKdutycycle Notes Notes: 1. RiseandfalltimesforSYSCLKaremeasuredat0.6Vand2.7V. 2. Timingisguaranteedbydesignandcharacterization. 16 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E 4.5 PlatformtoFIFOrestrictions PleasenotethefollowingFIFOmaximumspeedrestrictionsbasedonplatformspeed. ForFIFOGMIImode: FIFOTX/RXclockfrequency<=platformclockfrequency/4.2 Forexample,iftheplatformfrequencyis533MHz,theFIFOTX/RXclockfrequencyshouldbenomore than127MHz ForFIFOencodedmode: FIFOTX/RXclockfrequency<=platformclockfrequency/4.2 Forexample,iftheplatformfrequencyis533MHz,theFIFOTX/RXclockfrequencyshouldbenomore than167MHz. 4.6 PlatformFrequencyRequirementsforPCIExpressandSerialRapidIO TheCCBclockfrequencymustbeconsideredforproperoperationofthehighspeedPCIExpressand SerialRapidIOinterfacesasdescribedbelow. ForproperPCIExpressoperation,theCCBclockfrequencymustbegreaterthan: 527 MHz x (PCI-Express link width) 8 ForproperserialRapidIOoperation,theCCBclockfrequencymustbegreaterthan: 2 x (0.80) x (Serial RapidIO interface frequency) x (Serial RapidIO link width) 64 4.7 5. OtherInputClocks ForinformationontheinputclocksofotherfunctionalblocksoftheplatformsuchasSerDes,and eTSEC,seethespecificsectionofthisdocument. RESETINITIALIZATION ThissectiondescribestheACelectricalspecificationsfortheRESETinitializationtimingrequirementsof thePC8548E.Table51providestheRESETinitializationACtimingspecificationsfortheDDRSDRAM component(s). Table51. RESET Initialization Timing Specifications Parameter/Condition Min Max Unit Required assertion time of HRESET 100 - s Minimum assertion time for SRESET 3 - SYSCLKs 100 - s Input setup time for POR configs (other than PLL config) with respect to negation of HRESET 4 - SYSCLKs (1) Input hold time for all POR configs (including PLL config) with respect to negation of HRESET 2 - SYSCLKs (1) Maximum valid-to-high impedance time for actively driven POR configs with respect to negation of HRESET - 5 SYSCLKs (1) PLL input setup time with stable SYSCLK before HRESET negation Note: Notes (1) 1. SYSCLKisidenticaltothePCI_CLKsignalandistheprimaryclockinputforthePC8548E. 17 0831O-HIREL-01/16 (c)e2vtechnologies(uk)limited2016 PC8548E Table 5-2 provides the PLL lock times. Table52. PLL Lock Times Parameter/Condition 5.1 Min Max Unit Core and platform PLL lock times - 100 s Local bus PLL lock time - 50 s PCI/PCI-X bus PLL lock time - 50 s PowerOnRampRate This section describes the AC electrical specifications for the power-on ramp rate requirements. Controlling the maximum power-on ramp rate is required to avoid falsely triggering the ESD circuitry. The following table provides the power supply ramp rate specifications. Table53. Power Supply Ramp Rate Parameter Min Max Unit Notes Required ramp rate for MVREF - 3500 V/s (1) Required ramp rate for VDD - 4000 V/s (1)(2) Notes: 1. Maximum ramp rate from 200 to 500 mV is most critical as this range may falsely trigger the ESD circuitry. 2. VDD itself is not vulnerable to false ESD triggering; however, as per Section 22.2.1 "PLL Power Supply Filtering" on page 104 the recommended AVDD_CORE, AVDD_PLAT, AVDD_LBIU, AVDD_PCI1 and AVDD_PCI2 filters are all connected to VDD. Their ramp rates must be equal to or less than the VDD ramp rate. 6. DDRANDDDR2SDRAM This section describes the DC and AC electrical specifications for the DDR SDRAM interface of the PC8548E. Note that GVDD(typ) = 2.5V for DDR SDRAM, and GVDD(typ) = 1.8V for DDR2 SDRAM. 6.1 DDRSDRAMDCElectricalCharacteristics Table 6-1 provides the recommended operating conditions for the DDR2 SDRAM controller of the PC8548E when GVDD(typ) = 1.8V. Table61. DDR2 SDRAM DC Electrical Characteristics for GVDD(typ) = 1.8V Parameter/Condition Symbol Min Max Unit Notes I/O supply voltage GVDD 1.71 1.89 V (1) I/O reference voltage MVREF 0.49 x GVDD 0.51 x GVDD V (2) I/O termination voltage VTT MVREF - 0.04 MVREF + 0.04 V (3) Input high voltage VIH MVREF + 0.125 GVDD + 0.3 V Input low voltage VIL -0.3 MVREF - 0.125 V 18 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table61. DDR2 SDRAM DC Electrical Characteristics for GVDD(typ) = 1.8V (Continued) Output leakage current IOZ -50 50 A Output high current (VOUT = 1.420V) IOH -13.4 - mA Output low current (VOUT = 0.280V) IOL 13.4 - mA (4) Notes: 1. GVDD is expected to be within 50 mV of the DRAM GVDD at all times. 2. MVREF is expected to be equal to 0.5 x GVDD, and to track GVDD DC variations as measured at the receiver. Peak-to-peak noise on MVREF may not exceed 2% of the DC value. 3. VTT is not applied directly to the device. It is the supply to which far end signal termination is made and is expected to be equal to MVREF. This rail should track variations in the DC level of MVREF. 4. Output leakage is measured with all outputs disabled, 0V VOUT GVDD. Table 6-2 provides the DDR capacitance when GVDD(typ) = 1.8V. Table62. DDR2 SDRAM Capacitance for GVDD(typ)=1.8V Parameter/Condition Symbol Min Max Unit Notes Input/output capacitance: DQ, DQS, DQS CIO 6 8 pF (1) Delta input/output capacitance: DQ, DQS, DQS CDIO - 0.5 pF (1) Note: 1. This parameter is sampled. GVDD = 1.8V 0.090V, f = 1 MHz, TA = 25C, VOUT = GVDD/2, VOUT (peak-topeak) = 0.2V. Table 6-3 provides the recommended operating conditions for the DDR SDRAM component(s) when GVDD(typ) = 2.5V. Table63. DDR SDRAM DC Electrical Characteristics for GVDD (typ) = 2.5V Parameter/Condition Symbol Min Max Unit Notes I/O supply voltage GVDD 2.375 2.625 V (1) I/O reference voltage MVREF 0.49 x GVDD 0.51 x GVDD V (2) I/O termination voltage VTT MVREF - 0.04 MVREF + 0.04 V (3) Input high voltage VIH MVREF + 0.15 GVDD + 0.3 V Input low voltage VIL -0.3 MVREF - 0.15 V Output leakage current IOZ -50 50 A Output high current (VOUT = 1.95V) IOH -16.2 - mA Output low current (VOUT = 0.35V) IOL 16.2 - mA (4) Notes: 1. GVDD is expected to be within 50 mV of the DRAM GVDD at all times. 2. MVREF is expected to be equal to 0.5 xGVDD, and to track GVDD DC variations as measured at the receiver. Peak-to-peak noise on MVREF may not exceed 2% of the DC value. 3. VTT is not applied directly to the device. It is the supply to which far end signal termination is made and is expected to be equal to MVREF. This rail should track variations in the DC level of MVREF. 4. Output leakage is measured with all outputs disabled, 0V VOUT GVDD. 19 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table 6-4 provides the DDR capacitance when GVDD (typ) = 2.5V Table64. DDR SDRAM Capacitance for GVDD (typ) = 2.5V Parameter/Condition Symbol Min Max Unit Notes Input/output capacitance: DQ, DQS CIO 6 8 pF (1) Delta input/output capacitance: DQ, DQS CDIO - 0.5 pF (1) Note: 1. This parameter is sampled. GVDD = 2.5V 0.125V, f = 1 MHz, TA = 25C, VOUT = GVDD/2, VOUT (peak-to-peak) = 0.2V. Table 6-5 provides the current draw characteristics for MVREF. Table65. Current Draw Characteristics for MVREF Parameter/Condition Current draw for MVREF Note: 6.2 6.2.1 Symbol Min Max Unit Note IMVREF - 500 A (1) 1. The voltage regulator for MVREF must be able to supply up to 500 A current. DDRSDRAMACElectricalCharacteristics This section provides the AC electrical characteristics for the DDR SDRAM interface. The DDR controller supports both DDR1 and DDR2 memories. DDR1 is supported with the following AC timings at data rates of 333 MHz. DDR2 is supported with the following AC timings at data rates down to 333 MHz. DDRSDRAMInputACTimingSpecifications Table 6-6 provides the input AC timing specifications for the DDR SDRAM when GVDD(typ) = 1.8V. Table66. DDR2 SDRAM Input AC Timing Specifications for 1.8V Interface (At Recommended Operating Conditions) Parameter Symbol Min Max Unit AC input low voltage VIL - MVREF - 0.25 V AC input high voltage VIH MVREF + 0.25 V Table 6-7 provides the input AC timing specifications for the DDR SDRAM when GVDD(typ) = 2.5V. Table67. DDR SDRAM Input AC Timing Specifications for 2.5V Interface (At Recommended Operating Conditions) Parameter Symbol Min Max Unit AC input low voltage VIL - MVREF - 0.31 V AC input high voltage VIH MVREF + 0.31 V 20 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table 6-8 provides the input AC timing specifications for the DDR SDRAM interface. Table68. DDR SDRAM Input AC Timing Specifications (At Recommended Operating Conditions) Parameter Symbol Controller Skew for MDQS-MDQ/MECC tCISKEW Min Max 533 MHz -300 300 400 MHz -365 365 333 MHz -390 390 Unit Notes ps (1)(2) Notes: 1. tCISKEW represents the total amount of skew consumed by the controller between MDQS[n] and any corresponding bit that will be captured with MDQS[n]. This should be subtracted from the total timing budget. 2. The amount of skew that can be tolerated from MDQS to a corresponding MDQ signal is called tDISKEW. This can be determined by the following equation: tDISKEW = (T/4 - abs(tCISKEW)) where T is the clock period and abs(tCISKEW) is the absolute value of tCISKEW. 21 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 6.2.2 DDRSDRAMOutputACTimingSpecifications Table69. DDR SDRAM Output AC Timing Specifications (At Recommended Operating Conditions) Parameter MCK[n] cycle time, MCK[n]/MCK[n] crossing Symbol(1) Min Max Unit Notes tMCK 3.75 10 ns (2) 1.48 1.95 2.40 - - - ns (3) 1.48 1.95 2.40 - - - ns (3) 1.48 1.95 2.40 - - - ns (3) 1.48 1.95 2.40 - - - ns (3) ns (4) ps (5) ps (5) ADDR/CMD output setup with respect to MCK 533 MHz 400 MHz 333 MHz tDDKHAS ADDR/CMD output hold with respect to MCK 533 MHz 400 MHz 333 MHz tDDKHAX MCS[n] output setup with respect to MCK 533 MHz 400 MHz 333 MHz tDDKHCS MCS[n] output hold with respect to MCK 533 MHz 400 MHz 333 MHz tDDKHCX MCK to MDQS Skew tDDKHMH -0.6 0.6 MDQ/MECC/MDM output setup with respect to MDQS 533 MHz 400 MHz 333 MHz tDDKHDS, tDDKLDS 538 700 900 - - - MDQ/MECC/MDM output hold with respect to MDQS 533 MHz 400 MHz 333 MHz tDDKHDX, tDDKLDX 538 700 900 - - - MDQS preamble start tDDKHMP -0.5 x tMCK - 0.6 -0.5 xtMCK +0.6 ns (6) MDQS epilogue end tDDKHME -0.6 0.6 ns (6) Notes: 1. The symbols used for timing specifications follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. Output hold time can be read as DDR timing (DD) from the rising or falling edge of the reference clock (KH or KL) until the output went invalid (AX or DX). For example, tDDKHAS symbolizes DDR timing (DD) for the time tMCK memory clock reference (K) goes from the high (H) state until outputs (A) are setup (S) or output valid time. Also, tDDKLDX symbolizes DDR timing (DD) for the time tMCK memory clock reference (K) goes low (L) until data outputs (D) are invalid (X) or data output hold time. 2. All MCK/MCK referenced measurements are made from the crossing of the two signals 0.1V. 3. ADDR/CMD includes all DDR SDRAM output signals except MCK/MCK, MCS, and MDQ/MECC/MDM/MDQS. 4. Note that tDDKHMH follows the symbol conventions described in note (1). For example, tDDKHMH describes the DDR timing (DD) from the rising edge of the MCK[n] clock (KH) until the MDQS signal is valid (MH). tDDKHMH can be modified through control of the MDQS override bits (called WR_DATA_DELAY) in the TIMING_CFG_2 register. This will typically be set to the same delay as in DDR_SDRAM_CLK_CNTL[CLK_ADJUST]. The timing parameters listed in the table assume that these 2 parameters have been set to the same adjustment value. See the PC8548E PowerQUICC III Integrated Processor Reference Manual for a description and understanding of the timing modifications enabled by use of these bits. 22 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 5. Determined by maximum possible skew between a data strobe (MDQS) and any corresponding bit of data (MDQ), ECC (MECC), or data mask (MDM). The data strobe should be centered inside of the data eye at the pins of the microprocessor. 6. All outputs are referenced to the rising edge of MCK[n] at the pins of the microprocessor. Note that tDDKHMP follows the symbol conventions described in note (1). Note: For the ADDR/CMD setup and hold specifications in Table 6-9, it is assumed that the clock control register is set to adjust the memory clocks by 1/2 applied cycle. Figure 6-1 shows the DDR SDRAM output timing for the MCK to MDQS skew measurement (tDDKHMH). Figure61. Timing Diagram for tDDKHMH MCK[n] MCK[n] tMCK tDDKHMH(max) = 0.6 ns MDQS tDDKHMH(min) = -0.6 ns MDQS 23 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 6-2 shows the DDR SDRAM output timing diagram. Figure62. DDR SDRAM Output Timing Diagram MCK[n] MCK[n] tMCK tDDKHAS, tDDKHCS tDDKHAX, tDDKHCX Write A0 ADDR/CMD NOOP tDDKHMP tDDKHMH MDQS[n] tDDKHME tDDKHDS tDDKLDS MDQ[x] D0 D1 tDDKLDX tDDKHDX Figure 6-3 provides the AC test load for the DDR bus. Figure63. DDR AC Test Load Output Z0 = 50 GVDD /2 RL = 50 7. DUART This section describes the DC and AC electrical specifications for the DUART interface of the PC8548E. 7.1 DUARTDCElectricalCharacteristics Table 7-1 provides the DC electrical characteristics for the DUART interface. Table71. DUART DC Electrical Characteristics Parameter Symbol Min Max Unit High-level input voltage VIH 2 OVDD + 0.3 V Low-level input voltage VIL -0.3 0.8 V IIN - 5 A High-level output voltage (OVDD = mn, IOH = -100 A) VOH OVDD - 0.2 - V Low-level output voltage (OVDD = min, IOL = 100 A) VOL - 0.2 V Input current (VIN Note: (1) = 0V or VIN = VDD) 1. Note that the symbol VIN, in this case, represents the OVIN symbol referenced in Table 2-1 and Table 2-2. 24 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 7.2 DUARTACElectricalSpecifications Table 7-2 provides the AC timing parameters for the DUART interface. Table72. DUART AC Timing specifications Parameter Value Unit Notes Minimum baud rate fCCB/1,048,576 baud (1)(2) Maximum baud rate fCCB clock/16 baud (1)(2)(3) 16 - Oversample rate (1)(4) Notes: 1. Guaranteed by design. 2. fCCB refers to the internal platform clock. 3. Actual attainable baud rate will be limited by the latency of interrupt processing. 4. The middle of a start bit is detected as the 8th sampled 0 after the 1-to-0 transition of the start bit. Subsequent bit values are sampled each 16th sample. 8. ETHERNET:ENHANCEDTHREESPEEDETHERNET(ETSEC),MIIMANAGEMENT This section provides the AC and DC electrical characteristics for enhanced three-speed and MII management. 8.1 EnhancedThreeSpeedEthernetController(eTSEC) (10/100/1GbMbps)-GMII/MII/TBI/RGMII/RTBI/RMIIElectricalCharacteristics The electrical characteristics specified here apply to all gigabit media independent interface (GMII), media independent interface (MII), ten-bit interface (TBI), reduced gigabit media independent interface (RGMII), reduced ten-bit interface (RTBI), and reduced media independent interface (RMII) signals except management data input/output (MDIO) and management data clock (MDC). The RGMII and RTBI interfaces are defined for 2.5V, while the GMII, MII and TBI interfaces can be operated at 3.3 or 2.5V. The GMII, MII, or TBI interface timing is compliant with the IEEE Std. 802.3. The RGMII and RTBI interfaces follow the Reduced Gigabit Media-Independent Interface (RGMII) Specification Version 1.3 (12/10/2000). The RMII interface follows the RMII Consortium RMII Specification Version 1.2 (3/20/1998). The electrical characteristics for MDIO and MDC are specified in Section 9. "Ethernet Management Interface Electrical Characteristics" on page 39. 25 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.1.1 eTSECDCElectricalCharacteristics All GMII, MII, TBI, RGMII, RMII and RTBI drivers and receivers comply with the DC parametric attributes specified in Table 8-1 and Table 8-2. The RGMII and RTBI signals are based on a 2.5V CMOS interface voltage as defined by JEDEC EIA/JESD8-5. Table81. GMII, MII, RMII, and TBI DC Electrical Characteristics Parameter Symbol Min Max Unit Notes Supply voltage 3.3V LVDD TVDD 3.13 3.47 V (1)(2) Output high voltage (LVDD/TVDD = Min, IOH = -4.0 mA) VOH 2.40 LVDD/TVDD + 0.3 V Output low voltage (LVDD/TVDD = Min, IOL = 4.0 mA) VOL GND 0.50 V Input high voltage VIH 2.0 LVDD/TVDD + 0.3 V Input low voltage VIL -0.3 0.90 V Input high current (VIN = LVDD, VIN = TVDD) IIH - 40 A (1)(2)(3) Input low current (VIN = GND) IIL -600 - A (3) Notes: 1. LVDD supports eTSECs 1 and 2. 2. TVDD supports eTSECs 3 and 4. 3. The symbol VIN, in this case, represents the LVIN and TVIN symbols referenced in Table 2-1 and Table 22. . Table82. GMII, MII, RMII, TBI, RGMII, RTBI, and FIFO DC Electrical Characteristics Parameter Symbol Min Max Unit Notes LVDD/TVDD 2.37 2.63 V (1)(2) Output high voltage (LVDD/TVDD = Min, IOH = -1.0 mA) VOH 2 LVDD/TVDD + 0.3 V Output low voltage (LVDD/TVDD = Min, IOL = 1.0 mA) VOL GND - 0.3 0.40 V Input high voltage VIH 1.70 LVDD/TVDD + 0.3 V Input low voltage VIL -0.3 0.90 V Input high current (VIN = LVDD, VIN = TVDD) IIH - 10 A (1)(2)(3) Input low current (VIN = GND) IIL -15 - A (3) Supply voltage 2.5V Notes: 1. LVDD supports eTSECs 1 and 2. 2. TVDD supports eTSECs 3 and 4. 3. The symbol VIN, in this case, represents the LVIN and TVIN symbols referenced in Table 2-1 and Table 22. 26 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2 8.2.1 FIFO,GMII,MII,TBI,RGMII,RMII,andRTBIACTimingSpecifications The AC timing specifications for FIFO, GMII, MII, TBI, RGMII, RMII and RTBI are presented in this section. FIFOACSpecifications The basis for the AC specifications for the eTSEC's FIFO modes is the double data rate RGMII and RTBI specifications, since they have similar performance and are described in a source-synchronous fashion like FIFO modes. However, the FIFO interface provides deliberate skew between the transmitted data and source clock in GMII fashion. When the eTSEC is configured for FIFO modes, all clocks are supplied from external sources to the relevant eTSEC interface. That is, the transmit clock must be applied to the eTSECn's TSECn_TX_CLK, while the receive clock must be applied to pin TSECn_RX_CLK. The eTSEC internally uses the transmit clock to synchronously generate transmit data and outputs an echoed copy of the transmit clock back out onto the TSECn_GTX_CLK pin (while transmit data appears on TSECn_TXD[7:0], for example). It is intended that external receivers capture eTSEC transmit data using the clock on TSECn_GTX_CLK as a source- synchronous timing reference. Typically, the clock edge that launched the data can be used, since the clock is delayed by the eTSEC to allow acceptable set-up margin at the receiver. Note that there is relationship between the maximum FIFO speed and the platform speed. For more information see Section 4.5 "Platform to FIFO restrictions" on page 17. A summary of the FIFO AC specifications appears in Table 2-2 and Table 8-4. Table83. FIFO Mode Transmit AC Timing Specification Parameter/Condition Symbol Min Typ Max Unit tFIT 5.3 8.0 100 ns tFITH/tFIT 45 50 55 % TX_CLK, GTX_CLK peak-to-peak jitter tFITJ - - 250 ps Rise time TX_CLK (20%-80%) tFITR - - 0.75 ns Fall time TX_CLK (80%-20%) tFITF - - 0.75 ns FIFO data TXD[7:0], TX_ER, TX_EN setup time to GTX_CLK tFITDV 2.0 - - ns GTX_CLK to FIFO data TXD[7:0], TX_ER, TX_EN hold time tFITDX 0.5() - 3.0 ns TX_CLK, GTX_CLK clock period TX_CLK, GTX_CLK duty cycle 27 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table84. FIFO Mode Receive AC Timing Specification Parameter/Condition Symbol Min Typ Max Unit tFIR 5.3 8.0 100 ns tFIRH/tFIR 45 50 55 % RX_CLK peak-to-peak jitter tFIRJ - - 250 ps Rise time RX_CLK (20%-80%) tFIRR - - 0.75 ns Fall time RX_CLK (80%-20%) tFIRF - - 0.75 ns RXD[7:0], RX_DV, RX_ER setup time to RX_CLK tFIRDV 1.5 - - ns RXD[7:0], RX_DV, RX_ER hold time to RX_CLK tFIRDX 0.5 - - ns RX_CLK clock period RX_CLK duty cycle Note: 1. The minimum cycle period of the TX_CLK and RX_CLK is dependent on the maximum platform frequency of t he speed bins the part belongs to as well as the FIFO mode under operation. Refer to Section 4.5 "Platform to FIFO restrictions" on page 17. Timing diagrams for FIFO appear in Figure 8-1 and Figure 8-2. Figure81. FIFO Transmit AC Timing Diagram tFITF tFITR tFIT GTX_CLK tFITH tFITDV tFITDX TXD[7:0] TX_EN TX_ER Figure82. FIFO Receive AC Timing Diagram tFIRR tFIR RX_CLK tFIRH RXD[7:0] RX_DV RX_ER tFIRF valid data tFIRDV tFIRDX 28 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.2 GMIIACTimingSpecifications This section describes the GMII transmit and receive AC timing specifications. 8.2.2.1 GMIITransmitACTimingSpecifications Table 8-5 provides the GMII transmit AC timing specifications. Table85. GMII Transmit AC Timing Specifications Symbol(1) Min Typ Max Unit GMII data TXD[7:0], TX_ER, TX_EN setup time tGTKHDV 2.5 - - ns GTX_CLK to GMII data TXD[7:0], TX_ER, TX_EN delay tGTKHDX Parameter/Condition 0.5 - 5.0 ns GTX_CLK data clock rise time (20%-80%) tGTXR (2) - - 1.0 ns GTX_CLK data clock fall time (80%-20%) tGTXF(2) - - 1.0 ns Notes: 1. The symbols used for timing specifications herein follow the pattern t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tGTKHDV symbolizes GMII transmit timing (GT) with respect to the tGTX clock reference (K) going to the high state (H) relative to the time date input signals (D) reaching the valid state (V) to state or setup time. Also, tGTKHDX symbolizes GMII transmit timing (GT) with respect to the tGTX clock reference (K) going to the high state (H) relative to the time date input signals (D) going invalid (X) or hold time. Note that, in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For example, the subscript of tGTX represents the GMII(G) transmit (TX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. Guaranteed by design. Figure 8-3 shows the GMII transmit AC timing diagram. Figure83. GMII Transmit AC Timing Diagram tGTX tGTXR GTX_CLK tGTXH tGTXF TXD[7:0] TX_EN TX_ER tGTKHDX tGTKHDV 29 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.2.2 GMIIReceiveACTimingSpecifications Table 8-6 provides the GMII receive AC timing specifications.. Table86. GMII Receive AC Timing Specifications Symbol(1) Min Typ Max Unit tGRX - 8.0 - ns tGRXH/tGRX 35 - 75 ns RXD[7:0], RX_DV, RX_ER setup time to RX_CLK tGRDVKH 2.0 - - ns RXD[7:0], RX_DV, RX_ER hold time to RX_CLK tGRDXKH 0 - - ns RX_CLK clock rise (20%-80%) tGRXR(2) - - 1.0 ns RX_CLK clock fall time (80%-20%) tGRXF(2) 1.0 ns Parameter/Condition RX_CLK clock period RX_CLK duty cycle Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tGRDVKH symbolizes GMII receive timing (GR) with respect to the time data input signals (D) reaching the valid state (V) relative to the tRX clock reference (K) going to the high state (H) or setup time. Also, tGRDXKL symbolizes GMII receive timing (GR) with respect to the time data input signals (D) went invalid (X) relative to the tGRX clock reference (K) going to the low (L) state or hold time. Note that, in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For example, the subscript of tGRX represents the GMII (G) receive (RX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. Guaranteed by design. Figure 8-4 provides the AC test load for eTSEC. Figure84. eTSEC AC Test Load Z0 = 50 Output LVDD/2 RL = 50 Figure 8-5 shows the GMII receive AC timing diagram. Figure85. GMII Receive AC Timing Diagram tGRX tGRXR RX_CLK tGRXH tGRXF RXD[7:0] RX_DV RX_ER tGRDXKH tGRDVKV 30 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.3 MIIACTimingSpecifications This section describes the MII transmit and receive AC timing specifications. 8.2.3.1 MIIReceiveACTimingSpecifications Table 8-7 provides the MII transmit AC timing specifications. Table87. MII Transmit AC Timing Specifications Symbol(1) Parameter/Condition Min Typ Max Unit - 400 - ns tMTX - 40 - ns tMTXH/tMTX 35 - 65 % tMTKHDX 1.0 5 15 ns TX_CLK data clock rise (20%-80%) tMTXR (2) 1.0 - 4 ns TX_CLK data clock fall (80%-20%) tMTXF(2) 1.0 - 4 ns TX_CLK clock period 10 Mbps tMTX TX_CLK clock period 100 Mbps TX_CLK duty cycle TX_CLK to MII data TXD[3:0], TX_ER, TX_EN delay (2) Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tMTKHDX symbolizes MII transmit timing (MT) for the time tMTX clock reference (K) going high (H) until data outputs (D) are invalid (X). Note that, in general, the clock reference symbol representation is based on two to three letters representing the clock of a particular functional. For example, the subscript of tMTX represents the MII(M) transmit (TX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. Guaranteed by design. Figure 8-6 shows the MII transmit AC timing diagram. Figure86. MII Transmit AC Timing Diagram tMTX tMTXR TX_CLK tMTXH tMTXF TXD[3:0] TX_EN TX_ER tMTKHDX 31 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.3.2 MIIReceiveACTimingSpecifications Table 8-8 provides the MII receive AC timing specifications. Table88. MII Transmit AC Timing Specifications Symbol(1) Min Typ Max Unit RX_CLK clock period 10 Mbps tMRX(2) - 400 - ns RX_CLK clock period 100 Mbps tMRX - 40 - ns tMRXH/tMRX 35 - 65 % RXD[3:0], RX_DV, RX_ER setup time to RX_CLK tMRDVKH 10 - - ns RXD[3:0], RX_DV, RX_ER hold time to RX_CLK tMRDXKH 10 - - ns RX_CLK clock rise (20%-80%) tMRXR (2) 1.0 - 4 ns RX_CLK clock fall time (80%-20%) tMRXF(2) 1.0 - 4 ns Parameter/Condition RX_CLK duty cycle Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tMRDVKH symbolizes MII receive timing (MR) with respect to the time data input signals (D) reach the valid state (V) relative to the tMRX clock reference (K) going to the high (H) state or setup time. Also, tMRDXKL symbolizes MII receive timing (GR) with respect to the time data input signals (D) went invalid (X) relative to the tMRX clock reference (K) going to the low (L) state or hold time. Note that, in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For example, the subscript of tMRX represents the MII (M) receive (RX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. Guaranteed by design. Figure 8-7 provides the AC test load for eTSEC. Figure87. eTSEC AC Test Load Z0 = 50 Output LVDD/2 RL = 50 Figure 8-8 shows the MII receive AC timing diagram. Figure88. MII Receive AC Timing Diagram tMRX tMRXR RX_CLK tMRXH RXD[3:0] RX_DV RX_ER tMRXF Valid Data tMRDVKH tMRDXKL 32 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.4 8.2.4.1 TBIACTimingSpecifications This section describes the TBI transmit and receive AC timing specifications. TBITransmitACTimingSpecifications Table 8-9 provides the TBI transmit AC timing specifications. Table89. TBI Transmit AC Timing Specifications Symbol(1) Min Typ Max Unit TCG[9:0] setup time GTX_CLK going high tTTKHDV 2.0 - - ns TCG[9:0] hold time from GTX_CLK going high tTTKHDX 1.0 - - ns - - 1.0 ns - - 1.0 ns Parameter/Condition (2) GTX_CLK rise (20%-80%) tTTXR GTX_CLK fall time (80%-20%) tTTXF(2) Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state )(reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tTTKHDV symbolizes the TBI transmit timing (TT) with respect to the time from tTTX (K) going high (H) until the referenced data signals (D) reach the valid state (V) or setup time. Also, tTTKHDX symbolizes the TBI transmit timing (TT) with respect to the time from tTTX (K) going high (H) until the referenced data signals (D) reach the invalid state (X) or hold time. Note that, in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For example, the subscript of tTTX represents the TBI (T) transmit (TX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. Guaranteed by design. Figure 8-9 shows the TBI transmit AC timing diagram. Figure89. TBI Transmit AC Timing Diagram tTTX tTTXR GTX_CLK tTTXH tTTXF tTTXF TCG[9:0] tTTXR tTTKHDV tTTKHDX 33 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.4.2 TBIReceiveACTimingSpecifications Table 8-10 provides the TBI receive AC timing specifications. Table810. TBI Receive AC Timing Specifications Symbol(1) Min Typ Max Unit tTRX - 16.0 - ns tSKTRX 7.5 - 8.5 ns tTRXH/tTRX 40 - 60 % RCG[9:0] setup time to rising TSECn_RX_CLK tTRDVKH 2.5 - - ns RCG[9:0] hold time to rising TSECn_RX_CLK tTRDXKH 1.5 - - ns TSECn_RX_CLK[0:1] clock rise time (20%-80%) tTRXR(2) 0.7 - 2.4 ns TSECn_RX_CLK[0:1] clock fall time (80%-20%) tTRXF(2) 0.7 - 2.4 ns Parameter/Condition TSECn_RX_CLK[0:1] clock period TSECn_RX_CLK[0:1] skew TSECn_RX_CLK[0:1] duty cycle Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tTRDVKH symbolizes TBI receive timing (TR) with respect to the time data input signals (D) reach the valid state (V) relative to the tTRX clock reference (K) going to the high (H) state or setup time. Also, tTRDXKH symbolizes TBI receive timing (TR) with respect to the time data input signals (D) went invalid (X) relative to the tTRX clock reference (K) going to the high (H) state. Note that, in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For example, the subscript of tTRX represents the TBI (T) receive (RX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). For symbols representing skews, the subscript is skew (SK) followed by the clock that is being skewed (TRX). 2. Guaranteed by design. Figure 8-10 shows the TBI receive AC timing diagram. Figure810. TBI Receive AC Timing Diagram tTRXR tTRX TSECn_RX_CLK1 tTRXF tTRXH Valid Data RCG[9:0] Valid Data tTRDVKH tSKTRX tTRDXKH TSECn_RX_CLK0 tTRXH tTRDXKH tTRDVKH 34 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.5 TBISingleClockModeACSpecifications When the eTSEC is configured for TBI modes, all clocks are supplied from external sources to the relevant eTSEC interface. In single-clock TBI mode, when TBICON[CLKSEL] = 1, a 125 MHz TBI receive clock is supplied on the TSECn_RX_CLK pin (no receive clock is used on TSECn_TX_CLK in this mode, whereas for the dual-clock mode this is the PMA1 receive clock). The 125 MHz transmit clock is applied on the TSEC_GTX_CLK125 pin in all TBI modes. A summary of the single-clock TBI mode AC specifications for receive appears in Table 8-11. Table811. TBI single-clock Mode Receive AC Timing Specification Parameter/Condition Symbol Min Typ Max Unit tTRRX 7.5 8.0 8.5 ns tTRRH/TRRX 40 50 60 % RX_CLK peak-to-peak jitter tTRRJ - - 250 ps Rise time RX_CLK (20%-80%) tTRRR - - 1.0 ns Fall time RX_CLK (80%-20%) tTRRF - - 1.0 ns RCG[9:0] setup time to RX_CLK rising edge tTRRDVKH 2.0 - - ns RCG[9:0] hold time to RX_CLK rising edge tTRRDXKH 1.0 - - ns RX_CLK clock period RX_CLK duty cycle A timing diagram for TBI receive appears in Figure 8-11. Figure811. TBI Single-Clock Mode Receive AC Timing Diagram tTRRR tTRRX RX_CLK tTRRH tTRRF RCG[9:0] valid data tTRRDVKH tTRRDXKH 35 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 8.2.6 RGMIIandRTBIACTimingSpecifications Table 8-12 presents the RGMII and RTBI AC timing specifications. Table812. RGMII and RTBI AC Timing Specifications Parameter/Condition Data to clock output skew (at transmitter) Data to clock input skew (at receiver) (2) Clock period(3) Duty cycle for 10BASE-T and 100BASE-TX Symbol(1) Min Typ Max Unit tSKRGT(5) -500(6) 0 500(6) ps tSKRGT 1.0 - 2.8 ns 7.2 8 8.8 ns 40 50 60 % tRGT(5) (3)(4) tRGTH/tRGT (5) Rise time (20%-80%) tRGTR(5) - - 0.75 ns Fall time (20%-80%) tRGTF(5) - - 0.75 ns Notes: 1. Note that, in general, the clock reference symbol representation for this section is based on the symbols RGT to represent RGMII and RTBI timing. For example, the subscript of tRGT represents the TBI (T) receive (RX) clock. Note also that the notation for rise (R) and fall (F) times follows the clock symbol that is being represented. For symbols representing skews, the subscript is skew (SK) followed by the clock that is being skewed (RGT). 2. This implies that PC board design will require clocks to be routed such that an additional trace delay of greater than 1.5 ns will be added to the associated clock signal. 3. For 10 and 100 Mbps, tRGT scales to 400 ns 40 ns and 40 ns 4 ns, respectively. 4. Duty cycle may be stretched/shrunk during speed changes or while transitioning to a received packet's clock domains as long as the minimum duty cycle is not violated and stretching occurs for no more than three tRGT of the lowest speed transitioned between. 5. Guaranteed by characterization. 6. In rev 1.0 silicon, due to errata, tSKRGT is -650 ps (Min) and 650 ps (Max). Please refer to "eTSEC 10" in the device errata document. 36 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 8-12 shows the RGMII and RTBI AC timing and multiplexing diagrams. Figure812. RGMII and RTBI AC Timing and Multiplexing Diagrams tRGT tRGTH GTX_CLK (At Transmitter) tSKRGT TXD[8:5][3:0] TXD[7:4][3:0] TX_CTL TXD[8:5] TXD[3:0] TXD[7:4] TXD[4] TXEN TXD[9] TXERR tSKRGT TX_CLK (At PHY) RXD[8:5][3:0] RXD[7:4][3:0] RXD[8:5] RXD[3:0] RXD[7:4] tSKRGT RX_CTL RXD[4] RXDV RXD[9] RXERR tSKRGT RX_CLK (At PHY) 8.2.7 RMIIACTimingSpecifications This section describes the RMII transmit and receive AC timing specifications. 8.2.7.1 RMIITransmitACTimingSpecifications The RMII transmit AC timing specifications are in Table 8-13. Table813. RMII Transmit AC Timing Specifications Parameter/Condition (1) Symbol Min Typ Max Unit TSECn_TX_CLK clock period tRMT 15.0 20.0 25.0 ns TSECn_TX_CLK duty cycle tRMTH 35 50 65 % TSECn_TX_CLK peak-to-peak jitter tRMTJ - - 250 ps Rise time TSECn_TX_CLK (20%-80%) tRMTR 1.0 - 2.0 ns Fall time TSECn_TX_CLK (80%-20%) tRMTF 1.0 - 2.0 ns TSECn_TX_CLK to RMII data TXD[1:0], TX_EN delay tRMTDX 1.0 - 10 ns Note: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tMTKHDX symbolizes MII transmit timing (MT) for the time tMTX clock reference (K) going high (H) until data outputs (D) are invalid (X). Note that, in general, the clock reference symbol representation is based on two to three letters representing the clock of a particular functional. For example, the subscript of tMTX represents the MII(M) transmit (TX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 37 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 8-13 shows the RMII transmit AC timing diagram. Figure813. RMII Transmit AC Timing Diagram tRMT tRMTR TSECn_TX_CLK tRMTF tRMTH TXD[1:0] TX_EN TX_ER tRMTDX 8.2.7.2 RMIIReceiveACTimingSpecifications Table814. RMII Receive AC Timing Specifications (At Recommended Operating Conditions with LVDD of 3.3V 5%) Symbol(1) Min Typ Max Unit TSECn_TX_CLK clock period tRMR 15.0 20.0 25.0 ns TSECn_TX_CLK duty cycle tRMRH 35 50 65 % TSECn_TX_CLK peak-to-peak jitter tRMRJ - - 250 ps Rise time TSECn_TX_CLK (20%-80%) tRMRR 1.0 - 2.0 ns Fall time TSECn_TX_CLK (80%-20%) tRMRF 1.0 - 2.0 ns RXD[1:0], CRS_DV, RX_ER setup time to TSECn_TX_CLK rising edge tRMRDV 4.0 - - ns RXD[1:0], CRS_DV, RX_ER hold time to TSECn_TX_CLK rising edge tRMRDX 2.0 - - ns Parameter/Condition Note: 1. The symbols used for timing specificationsherein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tMRDVKH symbolizes MII receive timing (MR) with respect to the time data input signals (D) reach the valid state (V) relative to the tMRX clock reference (K) going to the high (H) state or setup time. Also, tMRDXKL symbolizes MII receive timing (GR) with respect to the time data input signals (D) went invalid (X) relative to the tMRX clock reference (K) going to the low (L) state or hold time. Note that, in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For example, the subscript of tMRX represents the MII (M) receive (RX) clock. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). Figure 8-14 provides the AC test load for eTSEC. Figure814. eTSEC AC Test Load Output Z0 = 50 LVDD/2 RL = 50 38 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 8-15 shows the RMII receive AC timing diagram. Figure815. RMII Receive AC Timing Diagram tRMRR tRMR TSECn_TX_CLK tRMRH RXD[1:0] CRS_DV RX_ER tRMRF Valid Data tRMRDV tRMRDX 9. ETHERNETMANAGEMENTINTERFACEELECTRICALCHARACTERISTICS The electrical characteristics specified here apply to MII management interface signals MDIO (management data input/output) and MDC (management data clock). The electrical characteristics for GMII, RGMII, RMII, TBI and RTBI are specified in Section 8. "Ethernet: Enhanced Three-Speed Ethernet (eTSEC), MII Management" on page 25. 9.1 MIIManagementDCElectricalCharacteristics The MDC and MDIO are defined to operate at a supply voltage of 3.3V. The DC electrical characteristics for MDIO and MDC are provided in Table 9-1. Table91. MII Management DC Electrical Characteristics Parameter Symbol Min Max Unit OVDD 3.13 3.47 V Output high voltage (OVDD = Min, IOH = -1 mA) VOH 2.10 OVDD + 0.3 V Output low voltage (OVDD = Min, IOL = 1 mA) VOL GND 0.50 V Input high voltage VIH 2.0 - V VIL - 0.90 V IIH - 40 A IIL -600 - A Supply voltage (3.3V) Input low voltage Input high current (OVDD = Max, VIN (1) = 2.1V) Input low current (OVDD = Max, VIN = 0.5V) Note: 1. Note that the symbol VIN, in this case, represents the OVIN symbol referenced in Table 2-1 and Table 2-2. 39 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 9.2 MIIManagementACElectricalSpecifications Table 9-2 provides the MII management AC timing specifications. Table92. MII Management AC Timing Specifications (At Recommended Operating Conditions with OVDD is 3.3V 5%) Symbol(1) Min Typ Max Unit Notes MDC frequency fMDC 0.72 2.5 8.3 MHz (2)(3)(4) MDC period tMDC 120.5 - 1389 ns - MDC clock pulse width high tMDCH 32 - - ns - Parameter/Condition MDC to MDIO valid tMDKHDV 16 x tCCB - - ns (5) MDC to MDIO delay tMDKHDX (16 x tCCB x 8) -3 - (16 x tCCB x 8) +3 ns (5) MDIO to MDC setup time tMDDVKH 5 - - ns - MDIO to MDC hold time tMDDXKH 0 - - ns - MDC rise time tMDCR - - 10 ns (4) MDC fall time tMDHF - - 10 ns (4) Notes: 1. The symbols used for timing specifications follow the pattern of t(first two letters of functional block)(signal)(state)(reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tMDKHDX symbolizes management data timing (MD) for the time tMDC from clock reference (K) high (H) until data outputs (D) are invalid (X) or data hold time. Also, tMDDVKH symbolizes management data timing (MD) with respect to the time data input signals (D) reach the valid state (V) relative to the tMDC clock reference (K) going to the high (H) state or setup time. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. This parameter is dependent on the eTSEC system clock speed, which is half of the Platform Frequency (fCCB). The actual ECn_MDC output clock frequency for a specific eTSEC port can be programmed by configuring the MgmtClk bit field of PC8548E's MIIMCFG register, based on the platform (CCB) clock running for the device. The formula is: Platform Frequency (CCB)/(2*Frequency Divider determined by MIICFG[MgmtClk] encoding selection). For example, if MIICFG[MgmtClk] = 000 and the platform (CCB) is currently running at 533 MHz, fMDC = 533/(2*4*8) = 533/64 = 8.3 MHz. That is, for a system running at a particular platform frequency (fCCB), the ECn_MDC output clock frequency can be programmed between maximum fMDC = fCCB/64 and minimum fMDC = fCCB/448. Refer to MPC8572E reference manual's MIIMCFG register section for more detail. 3. The maximum ECn_MDC output clock frequency is defined based on the maximum platform frequency for PC8548E (533 MHz) divided by 64, while the minimum ECn_MDC output clock frequency is defined based on the minimum platform frequency for PC8548E (333 MHz) divided by 448, following the formula described in Note (2) above. 4. Guaranteed by design. 5. tCCB is the platform (CCB) clock period. Figure 9-1 shows the MII management AC timing diagram. 40 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure91. MII Management Interface Timing Diagram tMDCR tMDC MDC tMDCF tMDCH MDIO (Input) tMDDVKH tMDDXKH MDIO (Output) tMDKHDX 10. LOCALBUS This section describes the DC and AC electrical specifications for the local bus interface of the PC8548. 10.1 LocalBusDCElectricalCharacteristics Table 10-1 provides the DC electrical characteristics for the local bus interface operating at BVDD = 3.3V DC Table101. Local Bus DC Electrical Characteristics (3.3V DC) Parameter Symbol Min Max Unit High-level input voltage VIH 2 BVDD + 0.3 V Low-level input voltage VIL -0.3 0.8 V Input current (VIN(1) = 0V or VIN = BVDD) IIN - 5 A High-level output voltage (BVDD = min, IOH = -2 mA) VOH BVDD - 0.2 - V Low-level output voltage (BVDD = min, IOL = 2 mA) VOL - 0.2 V Note: 1. Note that the symbol VIN, in this case, represents the BVIN symbol referenced in Table 2-1 and Table 2-2. Table 10-2 provides the DC electrical characteristics for the local bus interface operating at BVDD = 2.5V DC. Table102. Local Bus DC Electrical Characteristics (2.5V DC) Parameter Symbol Min Max Unit High-level input voltage VIH 1.70 BVDD+ 0.3 V Low-level input voltage VIL -0.3 0.7 V IIH - 10 A Input current (VIN(1) = 0V or VIN = BVDD) IIL -15 High-level output voltage (BVDD = min, IOH = -1 mA) VOH 2.0 BVDD+ 0.3 V Low-level output voltage (BVDD = min, IOL = 1 mA) VOL GND - 0.3 0.4 V Note: 1. Note that the symbol VIN, in this case, represents the BVIN symbol referenced in Table 2-1 and Table 2-2. 41 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 10.2 LocalBusACElectricalSpecifications Table 10-3 describes the general timing parameters of the local bus interface at BVDD = 3.3V DC. For information about the frequency range of local bus see Section 20.1 "Clock Ranges" on page 99. Table103. Local Bus General Timing Parameters (BVDD = 3.3V DC) - PLL Enabled Symbol(1) Min Max Unit Notes Local bus cycle time tLBK 7.5 12 ns (2) Local bus duty cycle tLBKH/tLBK 43 57 % 150 ps (7)(8) Parameter LCLK[n] skew to LCLK[m] or LSYNC_OUT tLBKSKEW Input setup to local bus clock (except LGTA/LUPWAIT) tLBIVKH1 1.8 - ns (3)(4) LGTA/LUPWAIT input setup to local bus clock tLBIVKH2 1.7 - ns (3)(4) Input hold from local bus clock (except LGTA/LUPWAIT) tLBIXKH1 1.0 - ns (3)(4) LGTA/LUPWAIT input hold from local bus clock tLBIXKH2 1.0 - ns (3)(4) LALE output transition to LAD/LDP output transition (LATCH setup and hold time) tLBOTOT 1.5 - ns (6) Local bus clock to output valid (except LAD/LDP and LALE) tLBKHOV1 - 2.0 ns Local bus clock to data valid for LAD/LDP tLBKHOV2 - 2.2 ns (3) Local bus clock to address valid for LAD tLBKHOV3 - 2.3 ns (3) Local bus clock to LALE assertion tLBKHOV4 2.3 ns (3) Output hold from local bus clock (except LAD/LDP and LALE) tLBKHOX1 0.7 - ns (3) Output hold from local bus clock for LAD/LDP tLBKHOX2 0.7 - ns (3) Local bus clock to output high Impedance (except LAD/LDP and LALE) tLBKHOZ1 - 2.5 ns (5) Local bus clock to output high impedance for LAD/LDP tLBKHOZ2 - 2.5 ns (5) Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tLBIXKH1 symbolizes local bus timing (LB) for the input (I) to go invalid (X) with respect to the time the tLBK clock reference (K) goes high (H), in this case for clock one(1). Also, tLBKHOX symbolizes local bus timing (LB) for the tLBK clock reference (K) to go high (H), with respect to the output (O) going invalid (X) or output hold time. 2. All timings are in reference to LSYNC_IN for PLL enabled and internal local bus clock for PLL bypass mode. 3. All signals are measured from BVDD/2 of the rising edge of LSYNC_IN for PLL enabled or internal local bus clock for PLL bypass mode to 0.4 BVDD of the signal in question for 3.3V signaling levels. 4. Input timings are measured at the pin. 5. For purposes of active/float timing measurements, the Hi-Z or off state is defined to be when the total current delivered through the component pin is less than or equal to the leakage current specification. 6. tLBOTOT is a measurement of the minimum time between the negation of LALE and any change in LAD. tLBOTOT is programmed with the LBCR[AHD] parameter. 7. Maximum possible clock skew between a clock LCLK[m] and a relative clock LCLK[n]. Skew measured between complementary signals at BVDD/2. 8. Guaranteed by design. 42 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table 10-4 describes the general timing parameters of the local bus interface at BVDD = 2.5V DC. Table104. Local Bus Timing Parameters (BVDD = 2.5V): PLL Enabled Symbol(1) Min Max Unit Notes Local bus cycle time tLBK 7.5 12 ns (2) Local bus duty cycle tLBKH/tLBK 43 57 % LCLK[n] skew to LCLK[m] or LSYNC_OUT tLBKSKEW - 150 ps (7)(8) Input setup to local bus clock (except LGTA/LUPWAIT) tLBIVKH1 1.9 - ns (3)(4) LGTA/LUPWAIT input setup to local bus clock tLBIVKH2 1.8 - ns (3)(4) Input hold from local bus clock (except LGTA/LUPWAIT) tLBIXKH1 1.1 - ns (3)(4) LGTA/LUPWAIT input hold from local bus clock tLBIXKH2 1.1 - ns (3)(4) LALE output transition to LAD/LDP output transition (LATCH hold time) tLBOTOT 1.5 - ns (6) Local bus clock to output valid (except LAD/LDP and LALE) tLBKHOV1 - 2.1 ns Local bus clock to data valid for LAD/LDP tLBKHOV2 - 2.3 ns (3) Local bus clock to address valid for LAD tLBKHOV3 - 2.4 ns (3) Local bus clock to LALE assertion tLBKHOV4 - 2.4 ns (3) Output hold from local bus clock (except LAD/LDP and LALE) tLBKHOX1 0.8 - ns (3) Output hold from local bus clock for LAD/LDP tLBKHOX2 0.8 - ns (3) Local bus clock to output high Impedance (except LAD/LDP and LALE) tLBKHOZ1 - 2.6 ns (5) Local bus clock to output high impedance for LAD/LDP tLBKHOZ2 - 2.6 ns (5) Parameter Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tLBIXKH1 symbolizes local bus timing (LB) for the input (I) to go invalid (X) with respect to the time the tLBK clock reference (K) goes high (H), in this case for clock one(1). Also, tLBKHOX symbolizes local bus timing (LB) for the tLBK clock reference (K) to go high (H), with respect to the output (O) going invalid (X) or output hold time. 2. All timings are in reference to LSYNC_IN for PLL enabled and internal local bus clock for PLL bypass mode. 3. All signals are measured from BVDD/2 of the rising edge of LSYNC_IN for PLL enabled or internal local bus clock for PLL bypass mode to 0.4 BVDD of the signal in question for 3.3V signaling levels. 4. Input timings are measured at the pin. 5. For purposes of active/float timing measurements, the Hi-Z or off state is defined to be when the total current delivered through the component pin is less than or equal to the leakage current specification. 6. tLBOTOT is a measurement of the minimum time between the negation of LALE and any change in LAD. Figure 8-1 provides the AC test load for the local bus. 7. Maximum possible clock skew between a clock LCLK[m] and a relative clock LCLK[n]. Skew measured between complementary signals at BVDD/2. 8. Guaranteed by design. 43 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 10-1 provides the AC test load for the local bus. Figure101. Local Bus AC Test Load Output Z0 = 50 BVDD/2 RL = 50 Note: PLL bypass mode is recommended when LBIU frequency is at or below 83 MHz. When LBIU operates above 83 Mhz, LBIU PLL is recommended to be enabled. Figure 10-2 to Figure 10-7 show the local bus signals. Figure102. Local Bus Signals, (PLL Enabled) LSYNC_IN tLBIXKH1 tLBIVKH1 Input Signals: LAD[0:31]/LDP[0:3] tLBIXKH2 tLBIVKH2 Input Signal: LGTA LUPWAIT Output Signals: LA[27:31]/LBCTL/LBCKE/LOE/ LSDA10/LSDWE/LSDRAS/ LSDCAS/LSDDQM[0:3] tLBKHOV1 tLBKHOZ1 tLBKHOX1 tLBKHOV2 tLBKHOZ2 tLBKHOX2 Output (Data) Signals: LAD[0:31]/LDP[0:3] tLBKHOV3 tLBKHOZ2 tLBKHOX2 Output (Address) Signal: LAD[0:31] tLBOTOT tLBKHOV4 LALE 44 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table 10-5 describes the timing parameters of the local bus interface at BVDD = 3.3V with PLL disabled. Table105. Local Bus Timing Parameters: PLL Bypassed Symbol(1) Min Max Unit Notes Local bus cycle time tLBK 12 - ns (2) Local bus duty cycle tLBKH/tLBK 43 57 % Internal launch/capture clock to LCLK delay tLBKHKT 2.3 4.4 ns (8) Input setup to local bus clock (except LGTA/LUPWAIT) tLBIVKH1 6.2 - ns (4)(5) LGTA/LUPWAIT input setup to local bus clock tLBIVKL2 6.1 - ns (4)(5) Input hold from local bus clock (except LGTA/LUPWAIT) tLBIXKH1 -1.8 - ns (4)(5) LGTA/LUPWAIT input hold from local bus clock tLBIXKL2 -1.3 - ns (4)(5) LALE output transition to LAD/LDP output transition (LATCH hold time) tLBOTOT 1.5 - ns (6) Local bus clock to output valid (except LAD/LDP and LALE) tLBKLOV1 - -0.3 ns Local bus clock to data valid for LAD/LDP tLBKLOV2 - -0.1 ns (4) Local bus clock to address valid for LAD tLBKLOV3 - 0 ns (4) Output hold from local bus clock (except LAD/LDP and LALE) tLBKLOX1 -3.7 - ns (4) Output hold from local bus clock for LAD/LDP tLBKLOX2 -3.7 - ns (4) Local bus clock to output high Impedance (except LAD/LDP and LALE) tLBKLOZ1 - 0.2 ns (7) Local bus clock to output high impedance for LAD/LDP tLBKLOZ2 - 0.2 ns (7) Parameter Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tLBIXKH1 symbolizes local bus timing (LB) for the input (I) to go invalid (X) with respect to the time the tLBK clock reference (K) goes high (H), in this case for clock one(1). Also, tLBKHOX symbolizes local bus timing (LB) for the tLBK clock reference (K) to go high (H), with respect to the output (O) going invalid (X) or output hold time. 2. All timings are in reference to local bus clock for PLL bypass mode. Timings may be negative with respect to the local bus clock because the actual launch and capture of signals is done with the internal launch/capture clock, which preceeds LCLK by tLBKHKT. 3. Maximum possible clock skew between a clock LCLK[m] and a relative clock LCLK[n]. Skew measured between complementary signals at BVDD/2. 4. All signals are measured from BVDD/2 of the rising edge of local bus clock for PLL bypass mode to 0.4 x BVDD of the signal in question for 3.3V signaling levels. 5. Input timings are measured at the pin. 6. The value of tLBOTOT is the measurement of the minimum time between the negation of LALE and any change in LAD. 7. For purposes of active/float timing measurements, the Hi-Z or off state is defined to be when the total current delivered through the component pin is less than or equal to the leakage current specification. 8. Guaranteed by characterization. 9. Guaranteed by design. 45 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure103. Local Bus Signals (PLL Bypass Mode) Internal launch/capture clock tLBKHKT LCLK[n] tLBIVKH1 tLBIXKH1 Input Signals: LAD[0:31]/LDP[0:3] tLBIVKL2 Input Signal: LGTA tLBIXKL2 LUPWAIT tLBKLOV1 tLBKLOX1 Output Signals: LA[27:31]/LBCTL/LBCKE/LOE/ LSDA10/LSDWE/LSDRAS/ LSDCAS/LSDDQM[0:3] tLBKLOZ1 tLBKLOZ2 tLBKLOV2 Output (Data) Signals: LAD[0:31]/LDP[0:3] tLBKLOV3 tLBKLOX2 Output (Address) Signal: LAD[0:31] tLBKLOV4 tLBOTOT LALE Note: In PLL bypass mode, LCLK[n] is the inverted version of the internal clock with the delay of tLBKHKT. In this mode, signals are launched at the rising edge of the internal clock and are captured at falling edge of the internal clock withe the exception of LGTA/LUPWAIT (which is captured on on the rising edge of the internal clock). 46 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure104. Local Bus Signals, GPCM/UPM Signals for LCCR[CLKDIV] = 4 (PLL Enabled) LSYNC_IN T1 T3 GPCM Mode Output Signals: LCS[0:7]/LWE tLBKHOV1 tLBKHOZ1 GPCM Mode Input Signal: LGTA tLBIVKH2 tLBIXKH2 UPM Mode Input Signal: LUPWAIT tLBIVKH1 Input Signals: LAD[0:31]/LDP[0:3] tLBIXKH1 tLBKHOV1 tLBKHOZ1 UPM Mode Output Signals: LCS[0:7]/LBS[0:3]/LGPL[0:5] 47 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure105. Local Bus Signals, GPCM/UPM Signals for LCCR[CLKDIV] = 4 (PLL Bypass Mode) Internal launch/capture clock T1 T3 LCLK tLBKLOX1 tLBKLOV1 GPCM Mode Output Signals: LCS[0:7]/LWE tLBKLOZ1 GPCM Mode Input Signal: LGTA tLBIVKL2 tLBIXKL2 UPM Mode Input Signal: LUPWAIT tLBIVKH1 Input Signals: LAD[0:31]/LDP[0:3] tLBIXKH1 UPM Mode Output Signals: LCS[0:7]/LBS[0:3]/LGPL[0:5] 48 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure106. Local Bus Signals, GPCM/UPM Signals for LCCR[CLKDIV] = 8 or 16 (PLL Enabled) LSYNC_IN T1 T2 T3 T4 tLBKHOV1 tLBKHOZ1 GPCM Mode Output Signals: LCS[0:7]/LWE GPCM Mode Input Signal: LGTA tLBIVKH2 tLBIXKH2 UPM Mode Input Signal: LUPWAIT tLBIVKH1 Input Signals: LAD[0:31]/LDP[0:3] tLBIXKH1 tLBKHOV1 tLBKHOZ1 UPM Mode Output Signals: LCS[0:7]/LBS[0:3]/LGPL[0:5] 49 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure107. Local Bus Signals, GPCM/UPM Signals for LCCR[CLKDIV] = 8 or 16 (PLL Bypass Mode) Internal launch/capture clock T1 T2 T3 T4 LCLK tLBKLOX1 tLBKLOV1 GPCM Mode Output Signals: LCS[0:7]/LWE tLBKLOZ1 GPCM Mode Input Signal: LGTA tLBIVKL2 tLBIXKL2 UPM Mode Input Signal: LUPWAIT tLBIVKH1 Input Signals: LAD[0:31]/LDP[0:3] tLBIXKH1 UPM Mode Output Signals: LCS[0:7]/LBS[0:3]/LGPL[0:5] 50 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 11. PROGRAMMABLEINTERRUPTCONTROLLER In IRQ edge trigger mode, when an external interrupt signal is asserted (according to the programmed polarity), it must remain the assertion for at lest 3 system clocks (SYSCLK periods). 12. JTAG This section describes the DC and AC electrical specifications for the IEEE Std. 1149.1 (JTAG) interface of the PC8548E. 12.1 JTAGDCElectricalCharacteristics Table 12-1 provides the DC electrical characteristics for the JTAG interface. Table121. JTAG DC Electrical Characteristics Symbol(2) Min Max Unit High-level input voltage VIH 2.0 OVDD + 0.3 V Low-level input voltage VIL -0.3 0.8 V IIN - 5 A High-level output voltage (OVDD = min, IOH = -2 mA) VOH 2.4 - V Low-level output voltage (OVDD = min, IOL = 2 mA) VOL - 0.4 V Parameter Input current (VIN Note: 12.2 (1) = 0 V or VIN = VDD) 1. Note that the symbol VIN, in this case, represents the OVIN. JTAGACElectricalSpecifications Table 12-2 provides the JTAG AC timing specifications as defined in Figure 12-2 through Figure 12-4. Table122. JTAG AC Timing Specifications (Independent of SYSCLK)(1) Symbol(2) Min Max Unit JTAG external clock frequency of operation fJTG 0 33.3 MHz JTAG external clock cycle time tJTG 30 - ns tJTKHKL 15 - ns tJTGR & tJTGF 0 2.0 ns (6) tTRST 25 - ns (3) Input setup times: - Boundary-scan data - TMS, TDI tJTDVKH tJTIVKH 4 0 - - ns (4) Input hold times: - Boundary-scan data - TMS, TDI tJTDXKH tJTIXKH 20 25 - - ns (4) Valid times: - Boundary-scan data - TDO tJTKLDV tJTKLOV 4 2 20 10 ns (5) Output hold times: - Boundary-scan data - TDO tJTKLDX tJTKLOX 30 30 - - ns (5) Parameter JTAG external clock pulse width measured at 1.4V JTAG external clock rise and fall times TRST assert time Notes 51 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table122. JTAG AC Timing Specifications (Independent of SYSCLK)(1) (Continued) Parameter Symbol(2) Min Max Unit Notes tJTKLDZ tJTKLOZ 3 3 19 9 ns (5)(6) JTAG external clock to output high impedance: - Boundary-scan data - TDO Notes: 1. All outputs are measured from the midpoint voltage of the falling/rising edge of tTCLK to the midpoint of the signal in question. The output timings are measured at the pins. All output timings assume a purely resistive 50 load (see Figure 12-1). Time-of-flight delays must be added for trace lengths, vias, and connectors in the system. 2. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tJTDVKH symbolizes JTAG device timing (JT) with respect to the time data input signals (D) reaching the valid state (V) relative to the tJTG clock reference (K) going to the high (H) state or setup time. Also, tJTDXKH symbolizes JTAG timing (JT) with respect to the time data input signals (D) went invalid (X) relative to the tJTG clock reference (K) going to the high (H) state. Note that, in general, the clock reference symbol representation is based on three letters representing the clock of a particular functional. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 3. TRST is an asynchronous level sensitive signal. The setup time is for test purposes only. 4. Non-JTAG signal input timing with respect to tTCLK. 5. Non-JTAG signal output timing with respect to tTCLK. 6. Guaranteed by design. Figure 12-1 provides the AC test load for TDO and the boundary-scan outputs. Figure121. AC Test Load for the JTAG Interface Z0 = 50 Output OVDD/2 RL = 50 Figure 12-2 provides the JTAG clock input timing diagram. Figure122. JTAG Clock Input Timing Diagram JTAG External Clock VM VM VM tJTKHKL tJTGR tJTG Note: tJTGF VM = Midpoint Voltage (OVDD/2). Figure 12-3 provides the TRST timing diagram. Figure123. TRST Timing Diagram TRST Note: VM VM tTRST VM = Midpoint Voltage (OVDD/2). 52 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 12-4 provides the boundary-scan timing diagram. Figure124. Boundary-scan Timing Diagram JTAG External Clock VM VM tJTDVKH tJTDXKH Boundary Data Inputs Input Data Valid tJTKLDV tJTKLDX Boundary Data Outputs Output Data Valid tJTKLDZ Boundary Data Outputs Note: Output Data Valid VM = Midpoint Voltage (OVDD/2). 13. I2C This section describes the DC and AC electrical characteristics for the I2C interface of the PC8548E. 13.1 I2CDCElectricalCharacteristics Table 13-1 provides the DC electrical characteristics for the I2C interface. Table131. I2C DC Electrical Characteristics (At Recommended Operating Conditions with OVDD of 3.3V 5%) Parameter Symbol Min Max Unit Notes Input high voltage level VIH 0.7 x OVDD OVDD + 0.3 V Input low voltage level VIL -0.3 0.3 x OVDD V Low level output voltage VOL 0 0.2 x OVDD V (1) Pulse width of spikes which must be suppressed by the input filter tI2KHKL 0 50 ns (2) Input current each I/O pin (input voltage is between 0.1 x OVDD and 0.9 x OVDD (max) II -10 10 A (3) Capacitance for each I/O pin CI - 10 pF Notes: 1. Output voltage (open drain or open collector) condition = 3 mA sink current. 2. Refer to the PC8548E PowerQUICC III Integrated Host Processor Reference Manual for information on the digital filter used. 3. I/O pins will obstruct the SDA and SCL lines if OVDD is switched off. 53 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 13.2 I2CACElectricalSpecifications Table 13-2 provides the AC timing parameters for the I2C interfaces. I2C AC Electrical Specifications (All Values Refer to VIH (min) and VIL (max) Levels, see Table 13-1) Table132. Symbol(1) Min Max Unit fI2C 0 400 kHz Low period of the SCL clock tI2CL(5) 1.3 - s High period of the SCL clock tI2CH(5) 0.6 - s Setup time for a repeated START condition tI2SVKH(5) 0.6 - s Hold time (repeated) START condition (after this period, the first clock pulse is generated) tI2SXKL(5) 0.6 - s Data setup time tI2DVKH(5) 100 - ns Data hold time: - CBUS(4) compatible masters - I2C bus devices tI2DXKL - 0(2) - 0.9(3) s Set-up time for STOP condition tI2PVKH 0.6 - s Bus free time between a STOP and START condition tI2KHDX 1.3 s Noise margin at the LOW level for each connected device (including hysteresis) VNL 0.1 x OVDD V Noise margin at the HIGH level for each connected device (including hysteresis) VNH 0.2 x OVDD V Parameter SCL clock frequency Notes: 1. The symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tI2DVKH symbolizes I2C timing (I2) with respect to the time data input signals (D) reach the valid state (V) relative to the tI2C clock reference (K) going to the high (H) state or setup time. Also, tI2SXKL symbolizes I2C timing (I2) for the time that the data with respect to the start condition (S) went invalid (X) relative to the tI2C clock reference (K) going to the low (L) state or hold time. Also, tI2PVKH symbolizes I2C timing (I2) for the time that the data with respect to the stop condition (P) reaching the valid state (V) relative to the tI2C clock reference (K) going to the high (H) state or setup time. For rise and fall times, the latter convention is used with the appropriate letter: R (rise) or F (fall). 2. PC8548E provides a hold time of at least 300 ns for the SDA signal (referred to the VIHmin of the SCL signal) to bridge the undefined region of the falling edge of SCL. As a transmitter, the PC8548E provides a delay time of at least 300 ns for the SDA signal (referred to the Vihmin of the SCL signal) to bridge the undefined region of the falling edge of SCL to avoid unintended generation of Start or Stop condition. When PC8548Eacts as the I2C bus master while transmitting, PC8548E drives both SCL and SDA. As long as the load on SCL and SDA are balanced, PC8548E would not cause unintended generation of Start or Stop condition. Therefore, the 300 ns SDA output delay time is not a concern. If, under some rare condition, the 300 ns SDA output delay time is required for PC8548E as transmitter, the following setting is recommended for the FDR bit field of the I2CFDR register to ensure both the desired I2C SCL clock frequency and SDA output delay time are achieved, assuming that the desired I2C SCL clock frequency is 400 KHz and the Digital Filter Sampling Rate Register (I2CDFSRR) is programmed with its default setting of 0x10 (decimal 16): I2C Source Clock Frequency FDR Bit Setting Actual FDR Divider Selected Actual I2C SCL Frequency Generated 333 MHz 0x2A 896 371 KHz 266 MHz 0x05 704 378 KHz 200 MHz 0x26 512 390 KHz 133 MHz 0x00 384 346 KHz For the detail of I2C frequency calculation, refer to the application note AN2919 "Determining the I2C Frequency Divider Ratio for SCL". Note that the I2C Source Clock Frequency is half of the CCB clock frequency for PC8548E. 54 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 3. The maximum tI2DVKH has only to be met if the device does not stretch the LOW period (tI2CL) of the SCL signal. 4. CB = capacitance of one bus line in pF. 5. Guaranteed by design. Figure 13-1 provides the AC test load for the I2C. Figure131. I2C AC Test Load Output Z0 = 50 OVDD/2 RL = 50 Figure 13-2 shows the AC timing diagram for the I2C bus. Figure132. I2C Bus AC Timing Diagram SDA tI2CF tI2SXKL tI2CL tI2CF tI2KHKL tI2DVKH tI2CR SCL tI2SXKL S tI2SVKH tI2CH tI2DXKL, tI2OVKL Sr tI2PVKH P S 55 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 14. GPOUT/GPIN This section describes the DC and AC electrical specifications for the GPOUT/GPIN bus of the device. 14.1 GPOUT/GPINElectricalCharacteristics Table 14-1 and Table 14-2 provide the DC electrical characteristics for the GPOUT interface. Table141. GPOUT DC Electrical Characteristics (3.3 V DC) Parameter Symbol Min Max Unit Supply voltage 3.3 V BVDD 3.13 3.47 V High-level output voltage (BVDD = min, IOH = -2 mA) VOH BVDD -0.2 -- V Low-level output voltage (BVDD = min, IOL = 2.mA) VOL -- 0.2 V Symbol Min Max Unit Supply voltage 2.5 V BVDD 2.37 2.63 V High-level output voltage BVDD = min, IOH = -1 mA) VOH 2.0 BVDD + 0.3 V Low-level output voltage (BVDD min, IOL = 1 mA) VOL GND - 0.3 0.4 V Table142. GPOUT DC Electrical Characteristics (2.5 V DC) Parameter Table 14-3 and Table 14-4 provide the DC electrical characteristics for the GPIN interface. Table143. GPIN DC Electrical Characteristics (3.3 V DC) Parameter Symbol Min Max Unit BVDD 3.13 3.47 V High-level input voltage VIH 2 BVDD + 0.3 V Low-level input voltage VIL -0.3 0.8 V Input current (BVIN(1) = 0V or BVIN = BVDD) IIN -- 5 A Supply voltage 3.3 V Note: 1. The symbol BVIN, in this case, represents the BVIN symbol referenced in Table 1. Table144. GPIN DC Electrical Characteristics (2.5V DC) Parameter Symbol Min Max Unit BVDD 2.37 2.63 V High-level input voltage VIH 1.70 BVDD +0.3 V Low-level input voltage VIL -0.3 0.7 V Input current (BVIN(1) = 0V or BVIN = BVDD) IIH -- 10 A Supply voltage 2.5V Note: 1. The symbol BVIN, in this case, represents the BVIN symbol referenced in Table 1. 56 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 15. PCI/PCIX Table 15-1 describes the DC and AC electrical specifications for the PCI/PCI-X bus of the PC8548E. Note that the maximum PCI-X frequency in synchronous mode is 110 MHz. 15.1 PCI/PCIXDCElectricalCharacteristics Table 15-1 provides the DC electrical characteristics for the PCI/PCI-X interface. Table151. PCI/PCI-X DC Electrical Characteristics(1) Parameter Symbol Min Max Unit High-level input voltage VIH 2 OVDD + 0.3 V Low-level input voltage VIL -0.3 0.8 V IIN - 5 A High-level output voltage (OVDD = min, IOH = -100 A) VOH OVDD - 0.2 - V Low-level output voltage (OVDD = min, IOL = 100 A) VOL - 0.2 V Input current (VIN (2) = 0V or VIN = VDD) Notes: 1. Ranges listed do not meet the full range of the DC specifications of the PCI2.2LocalBus Specifications. 2. Note that the symbol VIN, in this case, represents the OVIN symbol referenced in Table 2-1 and Table 2-2. 15.2 PCI/PCIXACElectricalSpecifications This section describes the general AC timing parameters of the PCI/PCI-X bus. Note that the clock reference CLK is represented by SYSCLK when the PCI controller is configured for asynchronous mode and by PCIn_CLK when it is configured for asynchronous mode. Table 15-2 provides the PCI AC timing specifications at 66 MHz. Table152. PCI AC Timing Specifications at 66 MHz Symbol(1) Min Max Unit Notes SYSCLK to output valid tPCKHOV - 6 ns (2)(3) Output hold from SYSCLK tPCKHOX 2 - ns (2)(10) SYSCLK to output high impedance tPCKHOZ - 14 ns (2)(4)(11) Input setup to SYSCLK tPCIVKH 3 - ns (2)(5)(10) Input hold from SYSCLK tPCIXKH 0 - ns (2)(5)(10) REQ64 to HRESET(9) setup time tPCRVRH 10 x tSYS - clocks (6)(7)(11) HRESET to REQ64 hold time tPCRHRX 0 50 ns (7)(11) HRESET high to first FRAME assertion tPCRHFV 10 - clocks (8)(11) Parameter Notes: 1. Note that the symbols used for timing specifications herein follow the pattern of t(first two letters of functional block)(signal)(state) (reference)(state) for inputs and t(first two letters of functional block)(reference)(state)(signal)(state) for outputs. For example, tPCIVKH symbolizes PCI/PCI-X timing (PC) with respect to the time the input signals (I) reach the valid state (V) relative to the SYSCLK clock, tSYS, reference (K) going to the high (H) state or setup time. Also, tPCRHFV symbolizes PCI/PCI-X timing (PC) with respect to the time hard reset (R) went high (H) relative to the frame signal (F) going to the valid (V) state. 2. See the timing measurement conditions in the PCI 2.2 Local Bus Specifications. 57 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 3. All PCI signals are measured from OVDD/2 of the rising edge of PCI_SYNC_IN to 0.4 OVDD of the signal in question for 3.3V PCI signaling levels. 4. For purposes of active/float timing measurements, the Hi-Z or off state is defined to be when the total current delivered through the component pin is less than or equal to the leakage current specification. 5. Input timings are measured at the pin. 6. The timing parameter tSYS indicates the minimum and maximum CLK cycle times for the various specified frequencies. The system clock period must be kept within the minimum and maximum defined ranges. For values see Section 20. "Clocking" on page 99. 7. The setup and hold time is with respect to the rising edge of HRESET. 8. The timing parameter tPCRHFV is a minimum of 10 clocks rather than the minimum of 5 clocks in the PCI 2.2 Local Bus Specifications. 9. The reset assertion timing requirement for HRESET is 100 s. 10. Guaranteed by characterization. 11. Guaranteed by design. Figure 15-1 provides the AC test load for PCI and PCI-X. Figure151. PCI/PCI-X AC Test Load Z0 = 50 Output OVDD/2 RL = 50 Figure 15-2 shows the PCI/PCI-X input AC timing conditions. Figure152. PCI/PCI-X Input AC Timing Measurement Conditions CLK tPCIVKH tPCIXKH Input Figure 15-3 shows the PCI/PCI-X output AC timing conditions. Figure153. PCI/PCI-X Output AC Timing Measurement Condition CLK tPCKHOV Output Delay tPCKHOZ High-Impedance Output 58 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table 15-3 provides the PCI-X AC timing specifications at 66 MHz. Table153. PCI-X AC Timing Specifications at 66 MHz Parameter Symbol Min Max Unit Notes SYSCLK to signal valid delay tPCKHOV - 3.8 ns (1)(2)(3)(7)(8) Output hold from SYSCLK tPCKHOX 0.7 - ns (1)(10) SYSCLK to output high impedance tPCKHOZ - 7 ns (1)(4)(8)(11) Input setup time to SYSCLK tPCIVKH 1.7 - ns (3)(5) Input hold time from SYSCLK tPCIXKH 0.5 - ns (10) REQ64 to HRESETsetup time tPCRVRH 10 - clocks (11) HRESET to REQ64 hold time tPCRHRX 0 50 ns (11) HRESET high to first FRAME assertion tPCRHFV 10 - clocks (9)(11) PCI-X initialization pattern to HRESET setup time tPCIVRH 10 - clocks (11) HRESET to PCI-X initialization pattern hold time tPCRHIX 0 50 ns (6)(11) Notes: 1. See the timing measurement conditions in the PCIX1.0aSpecification. 2. Minimum times are measured at the package pin (not the test point). Maximum times are measured with the test point and load circuit. 3. Setup time for point-to-point signals applies to REQ and GNT only. All other signals are bused. 4. For purposes of active/float timing measurements, the Hi-Z or off state is defined to be when the total current delivered through the component pin is less than or equal to the leakage current specification. 5. Setup time applies only when the device is not driving the pin. Devices cannot drive and receive signals at the same time. 6. Maximum value is also limited by delay to the first transaction (time for HRESET high to first configuration access, tPCRHFV). The PCI-X initialization pattern control signals after the rising edge of HRESET must be negated no later than two clocks before the first FRAME and must be floated no later than one clock before FRAME is asserted. 7. A PCI-X device is permitted to have the minimum values shown for tPCKHOV and tCYC only in PCI-X mode. In conventional mode, the device must meet the requirements specified in PCI 2.2 for the appropriate clock frequency. 8. Device must meet this specification independent of how many outputs switch simultaneously. 9. The timing parameter tPCRHFV is a minimum of 10 clocks rather than the minimum of 5 clocks in the PCIX1.0a Specification. 10. Guaranteed by characterization. 11. Guaranteed by design. 59 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table 15-4 provides the PCI-X AC timing specifications at 133 MHz. Note that the maximum PCI-X frequency in synchronous mode is 110 MHz. Table154. PCI-X AC Timing Specifications at 133 MHz Parameter Symbol Min Max Unit Notes SYSCLK to signal valid delay tPCKHOV - 3.8 ns (1)(2)(3)(7)(8) Output hold from SYSCLK tPCKHOX 0.7 - ns (1)(11) SYSCLK to output high impedance tPCKHOZ - 7 ns (1)(4)(8)(12) Input setup time to SYSCLK tPCIVKH 1.2 - ns (3)(5)(9)(11) Input hold time from SYSCLK tPCIXKH 0.5 - ns (11) REQ64 to HRESET setup time tPCRVRH 10 - clocks (12) HRESET to REQ64 hold time tPCRHRX 0 50 ns (12) HRESET high to first FRAME assertion tPCRHFV 10 - clocks (10)(12) PCI-X initialization pattern to HRESET setup time tPCIVRH 10 - clocks (12) HRESET to PCI-X initialization pattern hold time tPCRHIX 0 50 ns (6)(12) Notes: 1. See the timing measurement conditions in the PCI-X 1.0a Specification. 2. Minimum times are measured at the package pin (not the test point). Maximum times are measured with the test point and load circuit. 3. Setup time for point-to-point signals applies to REQ and GNT only. All other signals are bused. 4. For purposes of active/float timing measurements, the Hi-Z or off state is defined to be when the total current delivered through the component pin is less than or equal to the leakage current specification. 5. Setup time applies only when the device is not driving the pin. Devices cannot drive and receive signals at the same time. 6. Maximum value is also limited by delay to the first transaction (time for HRESET high to first configuration access, tPCRHFV). The PCI-X initialization pattern control signals after the rising edge of HRESET must be negated no later than two clocks before the first FRAME and must be floated no later than one clock before FRAME is asserted. 7. A PCI-X device is permitted to have the minimum values shown for tPCKHOV and tCYC only in PCI-X mode. In conventional mode, the device must meet the requirements specified in PCI 2.2 for the appropriate clock frequency. 8. Device must meet this specification independent of how many outputs switch simultaneously. 9. The timing parameter tPCIVKH is a minimum of 1.4 ns rather than the minimum of 1.2 ns in the PCI-X 1.0a Specification. 10. The timing parameter tPCRHFV is a minimum of 10 clocks rather than the minimum of 5 clocks in the PCI-X 1.0a Specification. 11. Guaranteed by characterization. 12. Guaranteed by design. 60 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 16. HIGHSPEEDSERIALINTERFACES(HSSI) The PC8548E features one Serializer/Deserializer (SerDes) interface to be used for high-speed serial interconnect applications. The SerDes interface can be used for PCI Express and/or serial RapidIO data transfers. This section describes the common portion of SerDes DC electrical specifications, which is the DC requirement for SerDes reference clocks. The SerDes data lane's transmitter and receiver reference circuits are also shown. 16.1 SignalTermsDefinition The SerDes utilizes differential signaling to transfer data across the serial link. This section defines terms used in the description and specification of differential signals. Figure 16-1 shows how the signals are defined. For illustration purpose, only one SerDes lane is used for the description. The figure shows a waveform for either a transmitter output (SDn_TX and SDn_TX) or a receiver input (SDn_RX and SDn_RX). Each signal swings between A volts and B volts where A > B. Using this waveform, the definitions are as follows. To simplify the illustration, the following definitions assume that the SerDes transmitter and receiver operate in a fully symmetrical differential signaling environment. 1. Single-ended swing The transmitter output signals and the receiver input signals SDn_TX, SDn_TX, SDn_RX and SDn_RX each have a peak-to-peak swing of A - B volts. This is also referred as each signal wire's single-ended swing. 2. Differential output voltage, VOD (or differential output swing): The differential output voltage (or swing) of the transmitter, VOD, is defined as the difference of the two complimentary output voltages: VSDn_TX - VSDn_TX. The VOD value can be either positive or negative. 3. Differential input voltage, VID (or differential input swing): The differential input voltage (or swing) of the receiver, VID, is defined as the difference of the two complimentary input voltages: VSDn_RX - VSDn_RX. The VID value can be either positive or negative. 4. Differential peak voltage, VDIFFp The peak value of the differential transmitter output signal or the differential receiver input signal is defined as differential peak voltage, VDIFFp = |A - B| volts. 5. Differential peak-to-peak, VDIFFp-p Since the differential output signal of the transmitter and the differential input signal of the receiver each range from A - B to -(A - B) volts, the peak-to-peak value of the differential transmitter output signal or the differential receiver input signal is defined as differential peak-to-peak voltage, VDIFFp-p = 2 x VDIFFp = 2 x|(A - B)| volts, which is twice of differential swing in amplitude, or twice of the differential peak. For example, the output differential peak-to-peak voltage can also be calculated as VTX-DIFFpp = 2 x|VOD|. 6. Common mode voltage, Vcm The common mode voltage is equal to one half of the sum of the voltages between each conductor of a balanced interchange circuit and ground. In this example, for SerDes output, Vcm_out = VSDn_TX + VSDn_TX = (A + B)/2, which is the arithmetic mean of the two complimentary output voltages within a differential pair. In a system, the common mode voltage may often differ from one component's output to the other's input. 61 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Sometimes, it may be even different between the receiver input and driver output circuits within the same component. It is also referred to as the DC offset. Figure161. Differential Voltage Definitions for Transmitter or Receiver SDn_TX or A Volts SDn_RX Vcm = (A + B) / 2 SDn_TX or SDn_RX B Volts Differential Swing, VID or VOD = A - B Differential Peak Voltage, VDIFFp = |A - B| Differential Peak-Peak Voltage, VDIFFpp = 2*VDIFFp (not shown) To illustrate these definitions using real values, consider the case of a CML (current mode logic) transmitter that has a common mode voltage of 2.25V and each of its outputs, TD and TD, has a swing that goes between 2.5 and 2.0V. Using these values, the peak-to-peak voltage swing of each signal (TD or TD) is 500 mVp-p, which is referred as the single-ended swing for each signal. In this example, since the differential signaling environment is fully symmetrical, the transmitter output's differential swing (VOD) has the same amplitude as each signal's single-ended swing. The differential output signal ranges between 500 and -500 mV, in other words, VOD is 500 mV in one phase and -500 mV in the other phase. The peak differential voltage (VDIFFp) is 500 mV. The peak-to-peak differential voltage (VDIFFp-p) is 1000 mVp-p. 16.2 SerDesReferenceClocks The SerDes reference clock inputs are applied to an internal PLL whose output creates the clock used by the corresponding SerDes lanes. The SerDes reference clocks inputs are SD1_REF_CLK and SD1_REF_CLK for PCI Express and Serial RapidIO, or SD2_REF_CLK and SD2_REF_CLK for the SGMII interface, respectively. The following sections describe the SerDes reference clock requirements and some application information. 16.2.1 SerDesReferenceClockReceiverCharacteristics Figure 16-2 shows a receiver reference diagram of the SerDes reference clocks. * The supply voltage requirements for XVDD_SRDS2 are specified in Table 2-1 and Table 2-2. * SerDes Reference clock receiver reference circuit structure: - The SDn_REF_CLK and SDn_REF_CLK are internally AC-coupled differential inputs as shown in Figure 16-2. Each differential clock input (SDn_REF_CLK or SDn_REF_CLK) has a 50 termination to SGND_SRDSn (xcorevss) followed by on-chip AC-coupling. - The external reference clock driver must be able to drive this termination. 62 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E - The SerDes reference clock input can be either differential or single-ended. Refer to the differential mode and single-ended mode description below for further detailed requirements. * The maximum average current requirement that also determines the common mode voltage range: - When the SerDes reference clock differential inputs are DC coupled externally with the clock driver chip, the maximum average current allowed for each input pin is 8 mA. In this case, the exact common mode input voltage is not critical as long as it is within the range allowed by the maximum average current of 8 mA (refer to the following bullet for more detail), since the input is AC-coupled on-chip. - This current limitation sets the maximum common mode input voltage to be less than 0.4V (0.4V/50 = 8 mA) while the minimum common mode input level is 0.1V above SGND_SRDSn (xcorevss). For example, a clock with a 50/50 duty cycle can be produced by a clock driver with output driven by its current source from 0 to 16 mA (0-0.8V), such that each phase of the differential input has a single-ended swing from 0V to 800 mV with the common mode voltage at 400 mV. - If the device driving the SDn_REF_CLK and SDn_REF_CLK inputs cannot drive 50 to SGND_SRDSn (xcorevss) DC, or it exceeds the maximum input current limitations, then it must be AC-coupled off-chip. * The input amplitude requirement: - This requirement is described in detail in the following sections. Figure162. Receiver of SerDes Reference Clocks 50 SDn_REF_CLK Input Amp SDn_REF_CLK 50 63 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 16.2.2 DCLevelRequirementforSerDesReferenceClocks The DC level requirement for the PC8548E SerDes reference clock inputs is different depending on the signaling mode used to connect the clock driver chip and SerDes reference clock inputs as described below: * Differential mode - The input amplitude of the differential clock must be between 400 and 1600 mV differential peak-peak (or between 200 and 800 mV differential peak). In other words, each signal wire of the differential pair must have a single-ended swing less than 800 mV and greater than 200 mV. This requirement is the same for both external DC-coupled or AC-coupled connection. - For external DC-coupled connection, as described in Section 16.2.1 "SerDes Reference Clock Receiver Characteristics" on page 62 the maximum average current requirements sets the requirement for average voltage (common mode voltage) to be between 100 and 400 mV. Figure 16-3 shows the SerDes reference clock input requirement for DC-coupled connection scheme. - For external AC-coupled connection, there is no common mode voltage requirement for the clock driver. Since the external AC-coupling capacitor blocks the DC level, the clock driver and the SerDes reference clock receiver operate in different command mode voltages. The SerDes reference clock receiver in this connection scheme has its common mode voltage set to SGND_SRDSn. Each signal wire of the differential inputs is allowed to swing below and above the command mode voltage (SGND_SRDSn). Figure 16-4 shows the SerDes reference clock input requirement for AC-coupled connection scheme. * Single-ended mode - The reference clock can also be single-ended. The SDn_REF_CLK input amplitude (singleended swing) must be between 400 and 800 mV peak-to-peak (from Vmin to Vmax) with SDn_REF_CLK either left unconnected or tied to ground. - The SDn_REF_CLK input average voltage must be between 200 and 400 mV. Figure 16-5 shows the SerDes reference clock input requirement for single-ended signaling mode. - To meet the input amplitude requirement, the reference clock inputs might need to be DCor AC-coupled externally. For the best noise performance, the reference of the clock could be DC or AC-coupled into the unused phase (SDn_REF_CLK) through the same source impedance as the clock input (SDn_REF_CLK) in use. Figure163. Differential Reference Clock Input DC Requirements (External DC-Coupled) SDn_REF_CLK 200 mV Input Amplitude or Differential Peak 800 mV Vmax 800 mV 100 mV Vcm 400 mV SDn_REF_CLK Vmin > 0V 64 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure164. Differential Reference Clock Input DC Requirements (External AC-Coupled) 200 mV Input Amplitude or Differential Peak 800 mV SDn_REF_CLK Vmax Vcm + 400 mV Vcm Vmin > Vcm - 400 mV SDn_REF_CLK Figure165. Single-Ended Reference Clock Input DC Requirements 400 mV SDn_REF_CLK Input Amplitude 800 mV SDn_REF_CLK 0V SDn_REF_CLK 16.2.3 InterfacingWithOtherDifferentialSignalingLevels * With on-chip termination to SGND_SRDSn (xcorevss), the differential reference clocks inputs are HCSL (high-speed current steering logic) compatible DC-coupled. * Many other low voltage differential type outputs like LVDS (low voltage differential signaling) can be used but may need to be AC-coupled due to the limited common mode input range allowed (100 to 400 mV) for DC-coupled connection. * LVPECL outputs can produce signal with too large amplitude and may need to be DC-biased at clock driver output first, then followed with series attenuation resistor to reduce the amplitude, in addition to AC-coupling. Note: Figure 16-6 through Figure 16-9 below are for conceptual reference only. Due to the fact that clock driver chip's internal structure, output impedance and termination requirements are different between various clock driver chip manufacturers, it's very possible that the clock circuit reference designs provided by clock driver chip vendor are different from what is shown below. They might also vary from one vendor to the other. Therefore, e2v Semiconductor can neither provide the optimal clock driver reference circuits, nor guarantee the correctness of the following clock driver connection reference circuits. The system designer is recommended to contact the selected clock driver chip vendor for the optimal reference circuits with the PC8548E SerDes reference clock receiver requirement provided in this document. 65 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 16-6 shows the SerDes reference clock connection reference circuits for HCSL type clock driver. It assumes that the DC levels of the clock driver chip is compatible with PC8548E SerDes reference clock input's DC requirement. Figure166. DC-Coupled Differential Connection with HCSL Clock Driver (Reference Only) HCSL CLK Driver Chip CLK_Out PC8548E SDn_REF_CLK 50 33 SerDes Refer. CLK Receiver 100 Differential PWB Trace Clock Driver 33 SDn_REF_CLK CLK_Out 50 Clock driver vendor dependent source termination resistor Total 50. Assume clock driver's output impedance is about 16 Figure 16-7 shows the SerDes reference clock connection reference circuits for LVDS type clock driver. Since LVDS clock driver's common mode voltage is higher than the PC8548E SerDes reference clock input's allowed range (100 to 400mV), AC-coupled connection scheme must be used. It assumes the LVDS output driver features 50 termination resistor. It also assumes that the LVDS transmitter establishes its own common mode level without relying on the receiver or other external component. Figure167. AC-Coupled Differential Connection with LVDS Clock Driver (Reference Only) LVDS CLK Driver Chip CLK_Out PC8548E 50 SerDes Refer. CLK Receiver 100 Differential PWB Trace Clock Driver CLK_Out SDn_REF_CLK 10 nF 10 nF SDn_REF_CLK 50 66 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 16-8 shows the SerDes reference clock connection reference circuits for LVPECL type clock driver. Since LVPECL driver's DC levels (both common mode voltages and output swing) are incompatible with the PC8548E SerDes reference clock input's DC requirement, AC-coupling has to be used. Figure 45 assumes that the LVPECL clock driver's output impedance is 50. R1 is used to DC-bias the LVPECL outputs prior to AC-coupling. Its value could be ranged from 140 to 240 depending on the clock driver vendor's requirement. R2 is used together with the SerDes reference clock receiver's 50 termination resistor to attenuate the LVPECL output's differential peak level such that it meets the PC8548E SerDes reference clock's differential input amplitude requirement (between 200 and 800 mV differential peak). For example, if the LVPECL output's differential peak is 900 mV and the desired SerDes reference clock input amplitude is selected as 600 mV, the attenuation factor is 0.67, which requires R2 = 25. Consult a clock driver chip manufacturer to verify whether this connection scheme is compatible with a particular clock driver chip. Figure168. AC-Coupled Differential Connection with LVPECL Clock Driver (Reference Only) PC8548E LVPECL CLK Driver Chip CLK_Out Clock Driver R2 SDn_REF_CLK 10 nF 50 SerDes Refer. CLK Receiver R1 100 Differential PWB Trace R2 10 nF SDn_REF_CLK CLK_Out R1 50 67 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure 16-9 shows the SerDes reference clock connection reference circuits for a single-ended clock driver. It assumes the DC levels of the clock driver are compatible with the PC8548E SerDes reference clock input's DC requirement. Figure169. Single-Ended Connection (Reference Only) Single-Ended CLK Driver Chip PC8548E Total 50. Assume clock driver's output impedance is about 16 . SDn_REF_CLK 50 33 Clock Driver CLK_Out SerDes Refer. CLK Receiver 100 Differential PWB Trace SDn_REF_CLK 50 16.2.4 50 ACRequirementsforSerDesReferenceClocks The clock driver selected should provide a high quality reference clock with low phase noise and cycleto-cycle jitter. Phase noise less than 100 kHz can be tracked by the PLL and data recovery loops and is less of a problem. Phase noise above 15 MHz is filtered by the PLL. The most problematic phase noise occurs in the 1-15-MHz range. The source impedance of the clock driver should be 50 to match the transmission line and reduce reflections which are a source of noise to the system. The detailed AC requirements of the SerDes reference clocks is defined by each interface protocol based on application usage. Refer to the following sections for detailed information: * Section 17.2 "AC Requirements for PCI Express SerDes Clocks" on page 69 * Section 18.2 "AC Requirements for Serial RapidIO SD_REF_CLK and SD_REF_CLK" on page 78 16.2.4.1 SpreadSpectrumClock SD1_REF_CLK/SD1_REF_CLK are designed to work with a spread spectrum clock (+0% to -0.5% spreading at 30-33 kHz rate is allowed), assuming both ends have same reference clock. For better results, a source without significant unintended modulation should be used. SD2_REF_CLK/SD2_REF_CLK are not intended to be used with, and should not be clocked by, a spread spectrum clock source. 68 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 16.3 SerDesTransmitterandReceiverReferenceCircuits Figure 16-10 shows the reference circuits for SerDes data lane's transmitter and receiver. Figure1610. SerDes Transmitter and Receiver Reference Circuits SD1_TXn or n SD2_TX 50 SD1_RXn or SD2_RXn 50 Receiver Transmitter 50 SD1_TXn or SD2_TXn SD1_RXn or SD2_RXn 50 The DC and AC specification of SerDes data lanes are defined in each interface protocol section below (PCI Express, Serial Rapid IO, or SGMII) in this document based on the application usage: * Section 17. "PCI Express" on page 69 * Section 18. "Serial RapidIO" on page 77 Note that external an AC coupling capacitor is required for the above three serial transmission protocols with the capacitor value defined in the specification of each protocol section. 17. PCIEXPRESS This section describes the DC and AC electrical specifications for the PCI Express bus of the PC8548E. 17.1 DCRequirementsforPCIExpressSD_REF_CLKandSD_REF_CLK For more information, see Section 16.2 "SerDes Reference Clocks" on page 62. 17.2 ACRequirementsforPCIExpressSerDesClocks Table 17-1 lists AC requirements. Table171. SD_REF_CLK and SD_REF_CLK AC Requirements Symbol ParameterDescription Min Typical Max Units Notes tREF REFCLK cycle time - 10 - ns (1) tREFCJ REFCLK cycle-to-cycle jitter. Difference in the period of any two adjacent REFCLK cycles - - 100 ps - tREFPJ Phase jitter. Deviation edge location in edge location with respect to mean -50 - 50 ps - Note: 1. Typical based on PCI Express Specification 2.0. 69 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 17.3 ClockingDependencies The ports on the two ends of a link must transmit data at a rate that is within 600 parts per million 15 (ppm) of each other at all times. This is specified to allow bit rate clock sources with a 300 ppm tolerance. 17.4 PhysicalLayerSpecifications The following is a summary of the specifications for the physical layer of PCI Express on this device. For further details as well as the specifications of the Transport and Data Link layer please use the PCI EXPRESS Base Specification. REV. 1.0a document. 17.4.1 DifferentialTransmitter(TX)Output Table 17-2 defines the specifications for the differential output at all transmitters (TXs). The parameters are specified at the component pins. Table172. Differential Transmitter (TX) Output Specifications Symbol Parameter UI Unit Interval VTX-DIFFp-p Differential Peak-to-Peak Output Voltage 0.8 VTX-DE-RATIO De- Emphasized Differential Output Voltage (Ratio) -3.0 TTX-EYE Minimum TX Eye Width 0.70 TTX-EYE-MEDIAN-toMAX-JITTER D+/D- TX Output Rise/Fall Time VTX-CM-ACp RMS AC Peak Common Mode Output Voltage IDLE-DELTA Nom Max Units 399.88 400 400.12 ps Each UI is 400 ps 300 ppm. UI does not account for Spread Spectrum Clock dictated variations. See Note (1) 1.2 V VTX-DIFFp-p = 2*|VTX-D+ - VTX-D-|. See Note (2) -4.0 dB Ratio of the VTX-DIFFp-p of the second and following bits after a transition divided by the VTX-DIFFp-p of the first bit after a transition. See Note (2) UI The maximum Transmitter jitter can be derived as TTX-MAX-JITTER = 1 - TTX-EYE = 0.3 UI. See Notes (2) and (3) UI Jitter is defined as the measurement variation of the crossing points (VTX-DIFFp-p = 0 V) in relation to a recovered TX UI. A recovered TX UI is calculated over 3500 consecutive unit intervals of sample data. Jitter is measured using all edges of the 250 consecutive UI in the center of the 3500 UI used for calculating the TX UI. See Notes (2) and (3) UI See Notes (2) and (5) mV VTX-CM-ACp = RMS(|VTXD+ + VTXD-|/2 - VTX-CM-DC) VTX-CM-DC = DC(avg) of |VTX-D+ + VTX-D-|/2.See Note (2) mV |VTX-CM-DC (during L0) + VTX-CM-Idle-DC (during electrical idle)| <= 100 mV VTX-CM-DC = DC(avg) of |VTX-D+ + VTX-D-|/2 [L0] VTX-CM-Idle-DC = DC(avg) of |VTX-D+ + VTX-D-|/2 [electrical idle] See Note (2) Maximum time between the jitter median and maximum deviation from the median TTX-RISE, TTX-FALL VTX-CM-DC-ACTIVE- Min Absolute Delta of DC Common Mode Voltage During L0 and Electrical Idle -3.5 0.15 0.125 20 0 100 Comments 70 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table172. Symbol Differential Transmitter (TX) Output Specifications (Continued) Parameter Min Nom Max Units Comments Absolute Delta of DC Common Mode between D+ and D- 0 25 mV |VTX-CM-DC-D+ - VTX-CM-DC-D-| <= 25 mV VTX-CM-DC-D+ = DC(avg) of |VTX-D+| VTX-CM-DC-D-= DC(avg) of |VTX-D-|. See Note (2) VTX-IDLE-DIFFp Electrical Idle differential Peak Output Voltage 0 20 mV VTX-IDLE-DIFFp = |VTX-IDLE-D+ - VTX-IDLE-D-| <= 20 mV. See Note (2) VTX-RCV-DETECT The amount of voltage change allowed during Receiver Detection 600 mV The total amount of voltage change that a transmitter can apply to sense whether a low impedance Receiver is present. See Note (6) VTX-DC-CM The TX DC Common Mode Voltage 3.6 V The allowed DC Common Mode voltage under any conditions. See Note (6) ITX-SHORT TX Short Circuit Current Limit 90 mA The total current the Transmitter can provide when shorted to its ground TTX-IDLE-MIN Minimum time spent in Electrical Idle UI Minimum time a Transmitter must be in Electrical Idle Utilized by the Receiver to start looking for an Electrical Idle Exit after successfully receiving an Electrical Idle ordered set TTX-IDLE-SET-TO-IDLE Maximum time to transition to a valid Electrical idle after sending an Electrical Idle ordered set UI After sending an Electrical Idle ordered set, the Transmitter must meet all Electrical Idle Specifications within this time. This is considered a debounce time for the Transmitter to meet Electrical Idle after transitioning from L0 UI Maximum time to meet all TX specifications when transitioning from Electrical Idle to sending differential data. This is considered a debounce time for the TX to meet all TX specifications after leaving Electrical Idle VTX-CM-DC-LINEDELTA TTX-IDLE-TO-DIFFDATA 0 50 20 Maximum time to transition to valid TX specifications after leaving an Electrical idle condition 20 RLTX-DIFF Differential Return Loss 12 dB Measured over 50 MHz to 1.25 GHz. See Note (4) RLTX-CM Common Mode Return Loss 6 dB Measured over 50 MHz to 1.25 GHz. See Note (4) ZTX-DIFF-DC DC Differential TX Impedance 80 TX DC Differential mode Low Impedance ZTX-DC Transmitter DC Impedance 40 Required TX D+ as wellall states LTX-SKEW Lane-to-Lane Output Skew ps Static skew between any two Transmitter Lanes within a single Link nF All Transmitters shall be AC coupled. The AC coupling is required either within the media or within the transmitting component itself. See Note (8) ms This random timeout helps resolve conflicts in crosslink configuration by eventually resulting in only one Downstream and one Upstream Port. See Note (7) CTX AC Coupling Capacitor Tcrosslink Crosslink Random Timeout 100 120 500 + 2 UI 75 0 200 1 71 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Notes: 1. No test load is necessarily associated with this value. 2. Specified at the measurement point into a timing and voltage compliance test load as shown in Figure 17-3 and measured over any 250 consecutive TX UIs. (Also refer to the transmitter compliance eye diagram shown in Figure 17-1). 3. A TTX-EYE = 0.70 UI provides for a total sum of deterministic and random jitter budget of TTX-JITTER-MAX = 0.30 UI for the Transmitter collected over any 250 consecutive TX UIs. The TTX-EYE-MEDIAN-to-MAX-JITTER median is less than half of the total TX jitter budget collected over any 250 consecutive TX UIs. It should be noted that the median is not the same as the mean. The jitter median describes the point in time where the number of jitter points on either side is approximately equal as opposed to the averaged time value. 4. The Transmitter input impedance shall result in a differential return loss greater than or equal to 12 dB and a common mode return loss greater than or equal to 6 dB over a frequency range of 50 MHz to 1.25 GHz. This input impedance requirement applies to all valid input levels. The reference impedance for return loss measurements is 50 to ground for both the D+ and D- line (that is, as measured by a Vector Network Analyzer with 50 probes; see Figure 17-3). Note that the series capacitors CTX is optional for the return loss measurement. 5. Measured between 20-80% at transmitter package pins into a test load as shown in Figure 17-3 for both VTX-D+ and VTX-D-. 6. See Section 4.3.1.8 of the PCI Express Base Specifications Rev 1.0a. 7. See Section 4.2.6.3 of the PCI Express Base Specifications Rev 1.0a. 8. PC8548E SerDes transmitter does not have CTX built in. An external AC coupling capacitor is required. 17.4.2 TransmitterComplianceEyeDiagrams The TX eye diagram in Figure 17-1 is specified using the passive compliance/test measurement load (see Figure 17-3) in place of any real PCI Express interconnect + RX component. There are two eye diagrams that must be met for the transmitter. Both eye diagrams must be aligned in time using the jitter median to locate the center of the eye diagram. The different eye diagrams will differ in voltage depending whether it is a transition bit or a de-emphasized bit. The exact reduced voltage level of the de-emphasized bit will always be relative to the transition bit. The eye diagram must be valid for any 250 consecutive UIs. A recovered TX UI is calculated over 3500 consecutive unit intervals of sample data. The eye diagram is created using all edges of the 250 consecutive UI in the center of the 3500 UI used for calculating the TX UI. Note: It is recommended that the recovered TX UI is calculated using all edges in the 3500 consecutive UI interval with a fit algorithm using a minimization merit function (i.e., least squares and median deviation fits). 72 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure171. Minimum Transmitter Timing and Voltage Output Compliance Specifications VTX-DIFF = 0 mV (D+ D- Crossing Point) VTX-DIFF = 0 mV (D+ D- Crossing Point) (Transition Bit) VTX-DIFFp-p-MIN = 800 mV (De-emphasized Bit) 566 mV (3 dB) >= VTX-DIFFp-p-MIN >= 505 mV (4 dB) .07 UI = UI - 0.3 UI(JTX-TOTAL-MAX) (Transition Bit) VTX-DIFFp-p-MIN = 800 mV 73 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 17.4.3 DifferentialReceiver(RX)InputSpecifications Table 17-3 defines the specifications for the differential input at all receivers (RXs). The parameters are specified at the component pins. Table173. Differential Receiver (RX) Input Specifications Symbol Parameter Min Nom Max Units UI Unit Interval 399.88 400 400.12 ps Each UI is 400 ps 300 ppm. UI does not account for Spread Spectrum Clock dictated variations. See Note (1). VRX-DIFFp-p Differential Peak-to-Peak Output Voltage 0.175 1.200 V VRX-DIFFp-p = 2*|VRX-D+ - VRX-D-|. See Note (2). UI The maximum interconnect media and Transmitter jitter that can be tolerated by the Receiver can be derived as TRX-MAX-JITTER = 1 - TRX-EYE = 0.6 UI. See Notes (2) and (3). Minimum Receiver Eye Width TRX-EYE 0.4 Comments TRX-EYE-MEDIAN-to-MAX -JITTER Maximum time between the jitter median and maximum deviation from the median 0.3 UI Jitter is defined as the measurement variation of the crossing points (VRX-DIFFp-p = 0 V) in relation to a recovered TX UI. A recovered TX UI is calculated over 3500 consecutive unit intervals of sample data. Jitter is measured using all edges of the 250 consecutive UI in the center of the 3500 UI used for calculating the TX UI. See Notes (2)(3)(7) . VRX-CM-ACp AC Peak Common Mode Input Voltage 150 mV VRX-CM-ACp = |VRXD+ - VRXD-|/2 + VRX-CM-DC VRX-CM-DC = DC(avg) of |VRX-D+ + VRX-D-|/2. See Note (2) RLRX-DIFF Differential Return Loss 15 dB Measured over 50 MHz to 1.25 GHz with the D+ and D-lines biased at +300 mV and -300 mV, respectively. See Note (4) RLRX-CM Common Mode Return Loss 6 dB Measured over 50 MHz to 1.25 GHz with the D+ and D-lines biased at 0V. See Note (4) ZRX-DIFF-DC DC Differential Input Impedance 80 100 120 RX DC Differential (5) ZRX-DC DC Input Impedance 40 50 60 Required RX D+ as well as D-DC Impedance (50 20% tolerance). See Notes (2) and (5) ZRX-HIGH-IMP-DC Powered Down DC Input Impedance Required RX D+ as well as D-DC Impedance when the Receiver terminations do not have power. See Note (6) 200 k 74 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table173. Differential Receiver (RX) Input Specifications (Continued) Symbol Parameter VRX-IDLE-DET-DIFFp-p Electrical Idle Detect Threshold TRX-IDLE-DET-DIFF-ENTERTIME Unexpected Electrical Idle Enter Detect Threshold Integration Time LTX-SKEW Total Min Nom 65 Max Units 175 mV VRX-IDLE-DET-DIFFp-p = 2*|VRX-D+ -VRX-D-|. Measured at the package pins of the Receiver ms An unexpected Electrical Idle (VRX-DIFFp-p < VRX-IDLE-DET-DIFFp-p) must be recognized no longer than TRX-IDLE-DET-DIFF-ENTERING to signal an unexpected idle condition. ns Skew across all lanes on a Link. This includes variation in the length of SKP ordered set (e.g. COM and one to five Symbols) at the RX as well as any delay differences arising from the interconnect itself. 10 Skew 20 Comments Notes: 1. No test load is necessarily associated with this value. 2. Specified at the measurement point and measured over any 250 consecutive UIs. The test load in Figure 17-3 should be used as the RX device when taking measurements (also refer to the Receiver compliance eye diagram shown in Figure 172). If the clocks to the RX and TX are not derived from the same reference clock, the TX UI recovered from 3500 consecutive UI must be used as a reference for the eye diagram. 3. A TRX-EYE = 0.40 UI provides for a total sum of 0.60 UI deterministic and random jitter budget for the Transmitter and interconnect collected any 250 consecutive UIs. The TRX-EYE-MEDIAN-to-MAX-JITTER specification ensures a jitter distribution in which the median and the maximum deviation from the median is less than half of the total. UI jitter budget collected over any 250 consecutive TX UIs. It should be noted that the median is not the same as the mean. The jitter median describes the point in time where the number of jitter points on either side is approximately equal as opposed to the averaged time value. If the clocks to the RX and TX are not derived from the same reference clock, the TX UI recovered from 3500 consecutive UI must be used as the reference for the eye diagram. 4. The Receiver input impedance shall result in a differential return loss greater than or equal to 15 dB with the D+ line biased to 300 mV and the D- line biased to -300 mV and a common mode return loss greater than or equal to 6 dB (no bias required) over a frequency range of 50 MHz to 1.25 GHz. This input impedance requirement applies to all valid input levels. The reference impedance for return loss measurements for is 50 to ground for both the D+ and D- line (that is, as measured by a Vector Network Analyzer with 50 probes - see Figure 17-3). Note: that the series capacitors CTX is optional for the return loss measurement. 5. Impedance during all LTSSM states. When transitioning from a Fundamental Reset to Detect (the initial state of the LTSSM) there is a 5 ms transition time before Receiver termination values must be met on all un-configured Lanes of a Port. 6. The RX DC Common Mode Impedance that exists when no power is present or Fundamental Reset is asserted. This helps ensure that the Receiver Detect circuit will not falsely assume a Receiver is powered on when it is not. This term must be measured at 300 mV above the RX ground. 7. It is recommended that the recovered TX UI is calculated using all edges in the 3500 consecutive UI interval with a fit algorithm using a minimization merit function. Least squares and median deviation fits have worked well with experimental and simulated data. 75 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 17.5 ReceiverComplianceEyeDiagrams The RX eye diagram in Figure 17-2 is specified using the passive compliance/test measurement load (see Figure 17-3) in place of any real PCI Express RX component. Note: In general, the minimum Receiver eye diagram measured with the compliance/test measurement load (see Figure 17-3) will be larger than the minimum Receiver eye diagram measured over a range of systems at the input Receiver of any real PCI Express component. The degraded eye diagram at the input Receiver is due to traces internal to the package as well as silicon parasitic characteristics which cause the real PCI Express component to vary in impedance from the compliance/test measurement load. The input Receiver eye diagram is implementation specific and is not specified. RX component designer should provide additional margin to adequately compensate for the degraded minimum Receiver eye diagram (shown in Figure 17-2) expected at the input Receiver based on some adequate combination of system simulations and the Return Loss measured looking into the RX package and silicon. The RX eye diagram must be aligned in time using the jitter median to locate the center of the eye diagram. The eye diagram must be valid for any 250 consecutive UIs. A recovered TX UI is calculated over 3500 consecutive unit intervals of sample data. The eye diagram is created using all edges of the 250 consecutive UI in the center of the 3500 UI used for calculating the TX UI. Note: The reference impedance for return loss measurements is 50. to ground for both the D+ and D- line (i.e., as measured by a Vector Network Analyzer with 50. probes; see Figure 17-3). Note that the series capacitors, CTX, are optional for the return loss measurement. Figure172. Minimum Receiver Eye Timing and Voltage Compliance Specification VRX-DIFF = 0 mV (D+ D- Crossing Point) VRX-DIFF = 0 mV (D+ D- Crossing Point) VRX-DIFFp-p-MIN > 175 mV 0.4 UI = TRX-EYE-MIN 76 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 17.5.1 ComplianceTestandMeasurementLoad The AC timing and voltage parameters must be verified at the measurement point, as specified within 0.2 inches of the package pins, into a test/measurement load shown in Figure 17-3. Note: The allowance of the measurement point to be within 0.2 inches of the package pins is meant to acknowledge that package/board routing may benefit from D+ and D- not being exactly matched in length at the package pin boundary. Figure173. Compliance Test/Measurement Load D+ Package Pin C = CTX TX Silicon + Package D- Package Pin C = CTX R = 50 R = 50 18. SERIALRAPIDIO This section describes the DC and AC electrical specifications for the RapidIO interface of the PC8548E, for the LP-Serial physical layer. The electrical specifications cover both single and multiple-lane links. Two transmitters (short run and long run) and a single receiver are specified for each of three baud rates, 1.25, 2.50, and 3.125 GBaud. Two transmitter specifications allow for solutions ranging from simple board-to-board interconnect to driving two connectors across a backplane. A single receiver specification is given that will accept signals from both the short run and long run transmitter specifications. The short run transmitter should be used mainly for chip-to-chip connections on either the same printed circuit board or across a single connector. This covers the case where connections are made to a mezzanine (daughter) card. The minimum swings of the short run specification reduce the overall power used by the transceivers. The long run transmitter specifications use larger voltage swings that are capable of driving signals across backplanes. This allows a user to drive signals across two connectors and a backplane. The specifications allow a distance of at least 50 cm at all baud rates. All unit intervals are specified with a tolerance of 100 ppm. The worst case frequency difference between any transmit and receive clock will be 200 ppm. To ensure interoperability between drivers and receivers of different vendors and technologies, AC coupling at the receiver input must be used. 77 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 18.1 DCRequirementsforSerialRapidIOSD_REF_CLKandSD_REF_CLK For more information, see Section 17.1 "DC Requirements for PCI Express SD_REF_CLK and SD_REF_CLK" on page 69. 18.2 ACRequirementsforSerialRapidIOSD_REF_CLKandSD_REF_CLK Table 18-1 lists the Serial RapidIO SDn_REF_CLK and SDn_REF_CLK AC requirements. Table181. SDn_REF_CLK and SDn_REF_CLK AC Requirements Symbol ParameterDescription tREF Min Typical Max Units REFCLK cycle time - 10(8) - ns 8 ns applies only to serial RapidIO with 125-MHz reference clock tREFCJ REFCLK cycle-to-cycle jitter. Difference in the period of any two adjacent REFCLK cycles - - 80 ps - tREFPJ Phase jitter. Deviation in edge location with respect to mean edge location -40 - 40 ps 18.3 Comments SignalDefinitions LP-Serial links use differential signaling. This section defines terms used in the description and specification of differential signals. Figure 18-1 shows how the signals are defined. The figures show waveforms for either a transmitter output (TD and TD) or a receiver input (RD and RD). Each signal swings between A Volts and B Volts where A > B. Using these waveforms, the definitions are as follows: 1. The transmitter output signals and the receiver input signals TD, TD, RD and RD each have a peak-topeak swing of A - B Volts 2. The differential output signal of the transmitter, VOD, is defined as VTD-VTD 3. The differential input signal of the receiver, VID, is defined as VRD-VRD 4. The differential output signal of the transmitter and the differential input signal of the receiver each range from A - B to -(A - B) Volts 5. The peak value of the differential transmitter output signal and the differential receiver input signal is A - B Volts 6. The peak-to-peak value of the differential transmitter output signal and the differential receiver input signal is 2 * (A - B) Volts Figure181. Differential Peak-to-Peak Voltage of Transmitter or Receiver A Volts B Volts TD or RD TD or RD Differential Peak-Peak = 2 x (A-B) 78 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E To illustrate these definitions using real values, consider the case of a CML (Current Mode Logic) transmitter that has a common mode voltage of 2.25V and each of its outputs, TD and TD, has a swing that goes between 2.5V and 2.0V. Using these values, the peak-to-peak voltage swing of the signals TD and TD is 500 mV p-p. The differential output signal ranges between 500 mV and -500 mV. The peak differential voltage is 500 mV. The peak-to-peak differential voltage is 1000 mV p-p. 18.4 Equalization With the use of high speed serial links, the interconnect media will cause degradation of the signal at the receiver. Effects such as Inter-Symbol Interference (ISI) or data dependent jitter are produced. This loss can be large enough to degrade the eye opening at the receiver beyond what is allowed in the specification. To negate a portion of these effects, equalization can be used. The most common equalization techniques that can be used are: * A passive high pass filter network placed at the receiver. This is often referred to as passive equalization. * The use of active circuits in the receiver. This is often referred to as adaptive equalization. 18.5 ExplanatoryNoteonTransmitterandReceiverSpecifications AC electrical specifications are given for transmitter and receiver. Long run and short run interfaces at three baud rates (a total of six cases) are described. The parameters for the AC electrical specifications are guided by the XAUI electrical interface specified in Clause 47 of IEEE 802.3ae-2002. XAUI has similar application goals to serial RapidIO, as described in Section 8.1 "Enhanced Three-Speed Ethernet Controller (eTSEC) (10/100/1Gb Mbps) - GMII/MII/TBI/ RGMII/RTBI/RMII Electrical Characteristics" on page 25. The goal of this standard is that electrical designs for serial RapidIO can reuse electrical designs for XAUI, suitably modified for applications at the baud intervals and reaches described herein. 18.6 TransmitterSpecifications LP-Serial transmitter electrical and timing specifications are stated in the text and tables of this section. The differential return loss, S11, of the transmitter in each case shall be better than * -10 dB for (Baud Frequency)/10 < Freq(f) < 625 MHz, and * -10 dB + 10log(f/625 MHz) dB for 625 MHz Freq(f) Baud Frequency The reference impedance for the differential return loss measurements is 100 resistive. Differential return loss includes contributions from on-chip circuitry, chip packaging and any off-chip components related to the driver. The output impedance requirement applies to all valid output levels. It is recommended that the 20%-80% rise/fall time of the transmitter, as measured at the transmitter output, in each case have a minimum value 60 ps. It is recommended that the timing skew at the output of an LP-Serial transmitter between the two signals that comprise a differential pair not exceed 25 ps at 1.25 GB, 20 ps at 2.50 GB and 15 ps at 3.125 GB. 79 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table182. Short Run Transmitter AC Timing Specifications: 1.25 GBaud Range Characteristic Symbol Min Max Unit Output Voltage VO -0.40 2.30 V VDIFFPP 500 1000 mV p-p - Deterministic Jitter JD - 0.17 UI p-p - Total Jitter JT - 0.35 UI p-p - SMO - 1000 ps Skew at the transmitter output between lanes of a multilane link UI 800 800 ps 100 ppm Differential Output Voltage Multiple output skew Unit Interval Table183. Notes Voltage relative to COMMON of either signal comprising a differential pair Short Run Transmitter AC Timing Specifications: 2.5 GBaud Range Characteristic Output Voltage, Differential Output Voltage Symbol Min Max Unit VO -0.40 2.30 V VDIFFPP 500 1000 mV p-p Notes Voltage relative to COMMON of either signal comprising a differential pair Deterministic Jitter JD 0.17 UI p-p Total Jitter JT 0.35 UI p-p SMO 1000 ps Skew at the transmitter output between lanes of a multilane link 400 ps 100 ppm Multiple output skew Unit Interval Table184. UI 400 Short Run Transmitter AC Timing Specifications: 3.125 GBaud Range Characteristic Output Voltage, Differential Output Voltage Symbol Min Max Unit VO -0.40 2.30 V VDIFFPP 500 1000 mV p-p Notes Voltage relative to COMMON of either signal comprising a differential pair Deterministic Jitter JD 0.17 UI p-p Total Jitter JT 0.35 UI p-p SMO 1000 ps Skew at the transmitter output between lanes of a multilane link 320 ps 100 ppm Multiple output skew Unit Interval UI 320 80 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table185. Long Run Transmitter AC Timing Specifications: 1.25 GBaud Range Characteristic Output Voltage, Differential Output Voltage Symbol Min Max Unit VO -0.40 2.30 V VDIFFPP 800 1600 mV p-p Notes Voltage relative to COMMON of either signal comprising a differential pair Deterministic Jitter JD 0.17 UI p-p Total Jitter JT 0.35 UI p-p SMO 1000 ps Skew at the transmitter output between lanes of a multilane link 800 ps 100 ppm Multiple output skew Unit Interval Table186. UI 800 Long Run Transmitter AC Timing Specifications: 2.5 GBaud Range Characteristic Output Voltage, Differential Output Voltage Symbol Min Max Unit VO -0.40 2.30 V VDIFFPP 800 1600 mV p-p Notes Voltage relative to COMMON of either signal comprising a differential pair Deterministic Jitter JD 0.17 UI p-p Total Jitter JT 0.35 UI p-p SMO 1000 ps Skew at the transmitter output between lanes of a multilane link 400 ps 100 ppm Multiple output skew Unit Interval Table187. UI 400 Long Run Transmitter AC Timing Specifications: 3.125 GBaud Range Characteristic Output Voltage, Differential Output Voltage Symbol Min Max Unit VO -0.40 2.30 V VDIFFPP 800 1600 mV p-p Notes Voltage relative to COMMON of either signal comprising a differential pair Deterministic Jitter JD 0.17 UI p-p Total Jitter JT 0.35 UI p-p SMO 1000 ps Skew at the transmitter output between lanes of a multilane link 320 ps 100 ppm Multiple output skew Unit Interval UI 320 81 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E For each baud rate at which an LP-Serial transmitter is specified to operate, the output eye pattern of the transmitter shall fall entirely within the unshaded portion of the Transmitter Output Compliance Mask shown in Figure 18-2 with the parameters specified in Table 18-8 when measured at the output pins of the device and the device is driving a 100 5% differential resistive load. The output eye pattern of an LP-Serial transmitter that implements pre-emphasis (to equalize the link and reduce inter-symbol interference) need only comply with the Transmitter Output Compliance Mask when preemphasis is disabled or minimized. Transmitter Differential Output Voltage Figure182. Transmitter Output Compliance Mask VDIFF max VDIFF min 0 -VDIFF min -VDIFF max 0 A B 1-B 1-A 1 Time in UI Table188. Transmitter Differential Output Eye Diagram Parameters TransmitterType VDIFFmin(mV) VDIFFmax(mV) A(UI) B(UI) 1.25 GBaud short range 250 500 0.175 0.39 1.25 GBaud long range 400 800 0.175 0.39 2.5 GBaud short range 250 500 0.175 0.39 2.5 GBaud long range 400 800 0.175 0.39 3.125 GBaud short range 250 500 0.175 0.39 3.125 GBaud long range 400 800 0.175 0.39 82 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 18.7 ReceiverSpecifications LP-Serial receiver electrical and timing specifications are stated in the text and tables of this section. Receiver input impedance shall result in a differential return loss better that 10 dB and a common mode return loss better than 6 dB from 100 MHz to (0.8)*(Baud Frequency). This includes contributions from on-chip circuitry, the chip package and any off-chip components related to the receiver. AC coupling components are included in this requirement. The reference impedance for return loss measurements is 100 resistive for differential return loss and 25 resistive for common mode. Table189. Receiver AC Timing Specifications: 1.25 GBaud Range Characteristic Symbol Min Max Unit Differential Input Voltage VIN 200 1600 mV p-p Measured at receiver Deterministic Jitter Tolerance JD 0.37 UI p-p Measured at receiver Combined Deterministic and Random Jitter Tolerance JDR 0.55 UI p-p Measured at receiver Total Jitter Tolerance(1) JT 0.65 UI p-p Measured at receiver Multiple Input Skew SMI 24 Bit Error Rate BER 10-12 Unit Interval UI Note: 800 800 Notes ns Skew at the receiver input between lanes of a multilane link ps 100 ppm 1. Total jitter is composed of three components, deterministic jitter, random jitter and single frequency sinusoidal jitter. The sinusoidal jitter may have any amplitude and frequency in the unshaded region of Figure 18-3. The sinusoidal jitter component is included to ensure margin for low frequency jitter, wander, noise, crosstalk and other variable system effects. Table1810. Receiver AC Timing Specifications: 2.5 GBaud Range Characteristic Symbol Min Max Unit Differential Input Voltage VIN 200 1600 mV p-p Measured at receiver Deterministic Jitter Tolerance JD 0.37 UI p-p Measured at receiver Combined Deterministic and Random Jitter Tolerance JDR 0.55 UI p-p Measured at receiver Total Jitter Tolerance(1) JT 0.65 UI p-p Measured at receiver Multiple Input Skew SMI 24 Bit Error Rate BER 10-12 Unit Interval UI Note: 400 400 Notes ns Skew at the receiver input between lanes of a multilane link ps 100 ppm 1. Total jitter is composed of three components, deterministic jitter, random jitter and single frequency sinusoidal jitter. The sinusoidal jitter may have any amplitude and frequency in the unshaded region of Figure 18-3. The sinusoidal jitter component is included to ensure margin for low frequency jitter, wander, noise, crosstalk and other variable system effects. 83 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table1811. Receiver AC Timing Specifications: 3.125 GBaud Range Characteristic Symbol Min Max Unit Differential Input Voltage VIN 200 1600 mV p-p Measured at receiver Deterministic Jitter Tolerance JD 0.37 UI p-p Measured at receiver Combined Deterministic and Random Jitter Tolerance JDR 0.55 UI p-p Measured at receiver Total Jitter Tolerance(1) JT 0.65 UI p-p Measured at receiver Multiple Input Skew SMI 22 Bit Error Rate BER 10-12 Unit Interval UI Note: 320 320 Notes ns Skew at the receiver input between lanes of a multilane link ps 100 ppm 1. Total jitter is composed of three components, deterministic jitter, random jitter and single frequency sinusoidal jitter. The sinusoidal jitter may have any amplitude and frequency in the unshaded region of Figure 18-3. The sinusoidal jitter component is included to ensure margin for low frequency jitter, wander, noise, crosstalk and other variable system effects. Figure183. Single Frequency Sinusoidal Jitter Limits 8.5 UIp-p Sinusoidal Jitter Amplitude 0.10 UIp-p 22.1 kHz Frequency 1.875 MHz 20 MHz 84 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 18.8 ReceiverEyeDiagrams For each baud rate at which an LP-Serial receiver is specified to operate, the receiver shall meet the corresponding Bit Error Rate specification (Table 18-9, Table 18-10, Table 18-11) when the eye pattern of the receiver test signal (exclusive of sinusoidal jitter) falls entirely within the unshaded portion of the Receiver Input Compliance Mask shown in Figure 18-4 with the parameters specified in Table 18-12. The eye pattern of the receiver test signal is measured at the input pins of the receiving device with the device replaced with a 100 Ohm 5% differential resistive load. Figure184. Receiver Input Compliance Mask Receiver Differential Input Voltage VDIFF max VDIFF min 0 -VDIFF min -VDIFF max 0 A B 1-B 1 1-A Time in UI Table1812. Receiver Input Compliance Mask Parameters Exclusive of Sinusoidal Jitter ReceiverType VDIFFmin(mV) VDIFFmax(mV) A(UI) B(UI) 1.25 GBaud 100 800 0.275 0.400 2.5 GBaud 100 800 0.275 0.400 3.125 GBaud 100 800 0.275 0.400 85 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 18.9 MeasurementandTestRequirements Since the LP-Serial electrical specification are guided by the XAUI electrical interface specified in Clause 47 of IEEE 802.3ae-2002, the measurement and test requirements defined here are similarly guided by Clause 47. In addition, the CJPAT test pattern defined in Annex 48A of IEEE802.3ae-2002 is specified as the test pattern for use in eye pattern and jitter measurements. Annex 48B of IEEE802.3ae-2002 is recommended as a reference for additional information on jitter test methods. 18.9.1 EyeTemplateMeasurements For the purpose of eye template measurements, the effects of a single-pole high pass filter with a 3 dB point at (Baud Frequency)/1667 is applied to the jitter. The data pattern for template measurements is the Continuous Jitter Test Pattern (CJPAT) defined in Annex 48A of IEEE802.3ae. All lanes of the LPSerial link shall be active in both the transmit and receive directions, and opposite ends of the links shall use asynchronous clocks. Four lane implementations shall use CJPAT as defined in Annex 48A. Single lane implementations shall use the CJPAT sequence specified in Annex 48A for transmission on lane 0. The amount of data represented in the eye shall be adequate to ensure that the bit error ratio is less than 10-12. The eye pattern shall be measured with AC coupling and the compliance template centered at 0V differential. The left and right edges of the template shall be aligned with the mean zero crossing points of the measured data eye. The load for this test shall be 100 Ohms resistive 5% differential to 2.5 GHz. 18.9.2 JitterTestMeasurements For the purpose of jitter measurement, the effects of a single-pole high pass filter with a 3 dB point at (Baud Frequency)/1667 is applied to the jitter. The data pattern for jitter measurements is the Continuous Jitter Test Pattern (CJPAT) pattern defined in Annex 48A of IEEE802.3ae. All lanes of the LPSerial link shall be active in both the transmit and receive directions, and opposite ends of the links shall use asynchronous clocks. Four lane implementations shall use CJPAT as defined in Annex 48A. Single lane implementations shall use the CJPAT sequence specified in Annex 48A for transmission on lane 0. Jitter shall be measured with AC coupling and at 0V differential. Jitter measurement for the transmitter (or for calibration of a jitter tolerance setup) shall be performed with a test procedure resulting in a BER curve such as that described in Annex 48B of IEEE802.3ae. 18.9.3 TransmitJitter Transmit jitter is measured at the driver output when terminated into a load of 100 Ohms resistive 5% differential to 2.5 GHz. 86 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 18.9.4 JitterTolerance Jitter tolerance is measured at the receiver using a jitter tolerance test signal. This signal is obtained by first producing the sum of deterministic and random jitter defined in Section 18.7 "Receiver Specifications" on page 83, and then adjusting the signal amplitude until the data eye contacts the 6 points of the minimum eye opening of the receive template shown in Figure 18-4 and Table 18-12. Note that for this to occur, the test signal must have vertical waveform symmetry about the average value and have horizontal symmetry (including jitter) about the mean zero crossing. Eye template measurement requirements are as defined above. Random jitter is calibrated using a high pass filter with a low frequency corner at 20 MHz and a 20 dB/decade roll-off below this. The required sinusoidal jitter specified in Section 18.7, is then added to the signal and the test load is replaced by the receiver being tested. 19. PACKAGEDESCRIPTION This section details package parameters, pin assignments, and dimensions. 19.1 PackageParameters The package parameters for both the HiCTE FC-CBGA and FC-PBGA are provided in Table 19-1. Table191. Package Parameters CBGA(1) PBGA(2) 29 mm x 29 mm 29 mm x 29 mm 783 783 1 mm 1 mm Ball diameter (typical) 0.6 mm 0.6 mm Solder ball (eutectic) 63% Sn 37% Pb 0% Ag 63% Sn 37% Pb 0% Ag 90% Pb 10% Sn N.A. 96,5% Sn 3% Ag 0.5% Cu 96.5% Sn 3.5% Ag Parameter Package outline Interconnects Ball pitch Solder ball high lead (3) Solder ball (lead-free) Notes: 1. The HiCTE FC-CBGA package is available on Version 2.1 of the device. 2. The FC-PBGA package is available on versions 2.1.1, 2.1.2, and 3.x of the device. 3. High lead solder spheres are upon request. 87 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 19.2 MechanicalDimensionsoftheHiCTEFCCBGAandFCPBGAwithFullLid The following figures show the mechanical dimensions and bottom surface nomenclature for the PC8548E HiCTE FC-CBGA and FC-PBGA packages. Figure191. Mechanical Dimensions and Bottom Surface Nomenclature of the HiCTE FC-CBGA and FC-PBGA with Full Lid 29 A1 Corner LID Chamfer 783X B 0.2 A A 0.25 C A Seating plane 4 0.35 A 5 28.7 Max LID ZONE 29 4X 0.2 28.7 Max LID ZONE Top view 27X 1 0.5 AH AG AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A 27X 1 0.5 3.38 Max 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 Bottom view 0.6 0.35 1.32 1.08 1.63 1.37 (783X) 0.3 Side view 0.7 3 0.5 M A B C 0.15 M A Notes: 1. All dimensions are in millimeters. 2. Dimensioning and tolerancing per ASME Y14.5M-1994. 3. Maximum solder ball diameter measured parallel to datum A. 4. Datum A, the seating plane, is determined by the spherical crowns of the solder balls. 5. Parallelism measurement shall exclude any effect of mark on top surface of package. 6. All dimensions are symetric across the package center lines unless dimensioned otherwise. 88 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure192. Mechanical Dimensions and Bottom Surface Nomenclature of the FC-PBGA with Stamped Lid 89 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 19.3 PinoutListings Note: The DMA_DACK[0:1] and TEST_SEL/ TEST_SEL pins must be set to a proper state during POR configuration. Please refer to the pinlist table of the individual device for more details. For PC8548/47/45, GPIOs are still available on PCI1_AD[63:32]/PC2_AD[31:0] pins if they are not used for PCI funcationality. For PC8545/43, eTSEC does not support 16 bit FIFO mode. Table 19-2 provides the pinout listing for the PC8548E 783 HITCE package. Table192. PC8548E Pinout Listing PinType Power Supply PCI1_AD[63:32]/PCI2_AD[31:0] AB14, AC15, AA15, Y16, W16, AB16, AC16, AA16, AE17, AA18, W18, AC17, AD16, AE16, Y17, AC18, AB18, AA19, AB19, AB21, AA20, AC20, AB20, AB22, AC22, AD21, AB23, AF23, AD23, AE23, AC23, AC24 I/O OVDD (17) PCI1_AD[31:0] AH6, AE7, AF7, AG7, AH7, AF8, AH8, AE9, AH9, AC10, AB10, AD10, AG10, AA10, AH10, AA11, AB12, AE12, AG12, AH12, AB13, AA12, AC13, AE13, Y14, W13, AG13, V14, AH13, AC14, Y15, AB15 I/O OVDD (17) PCI1_C_BE[7:4]/PCI2_C_BE[3:0] AF15, AD14, AE15, AD15 I/O OVDD (17) PCI1_C_BE[3:0] AF9, AD11, Y12, Y13 I/O OVDD (17) PCI1_PAR64/PCI2_PAR W15 I/O OVDD PCI1_GNT[4:1] AG6, AE6, AF5, AH5 O OVDD PCI1_GNT0 AG5 I/O OVDD - Signal PackagePinNumber Notes PCI1andPCI2(one64bitortwo32bit) (5)(9)(35) PCI1_IRDY AF11 I/O OVDD (2) PCI1_PAR AD12 I/O OVDD - PCI1_PERR AC12 I/O OVDD (2) PCI1_SERR V13 I/O OVDD (2)(4) PCI1_STOP W12 I/O OVDD (2) PCI1_TRDY AG11 I/O OVDD (2) PCI1_REQ[4:1] AH2, AG4, AG3, AH4 I OVDD - PCI1_REQ0 AH3 I/O OVDD - PCI1_CLK AH26 I OVDD (39) PCI1_DEVSEL AH11 I/O OVDD (2) PCI1_FRAME AE11 I/O OVDD (2) PCI1_IDSEL AG9 I OVDD - PCI1_REQ64/PCI2_FRAME AF14 I/O OVDD (2)(5)(10) PCI1_ACK64/PCI2_DEVSEL V15 I/O OVDD (2) PCI2_CLK AE28 I OVDD (39) PCI2_IRDY AD26 I/O OVDD (2) PCI2_PERR AD25 I/O OVDD (2) 90 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table192. PC8548E Pinout Listing (Continued) Signal PackagePinNumber PCI2_GNT[4:1] AE26, AG24, AF25, AE25 PCI2_GNT0 AG25 PinType Power Supply O OVDD I/O OVDD - Notes (5)(9)(35) PCI2_SERR AD24 I/O OVDD (2)(4) PCI2_STOP AF24 I/O OVDD (2) PCI2_TRDY AD27 I/O OVDD (2) PCI2_REQ[4:1] AD28, AE27, W17, AF26 I OVDD - PCI2_REQ0 AH25 I/O OVDD - MDQ[0:63] L18, J18, K14, L13, L19, M18, L15, L14, A17, B17, A13, B12, C18, B18, B13, A12, H18, F18, J14, F15, K19, J19, H16, K15, D17, G16, K13, D14, D18, F17, F14, E14, A7, A6, D5, A4, C8, D7, B5, B4, A2, B1, D1, E4, A3, B2, D2, E3, F3, G4, J5, K5, F6, G5, J6, K4, J1, K2, M5, M3, J3, J2, L1, M6 I/O GVDD - MECC[0:7] H13, F13, F11, C11, J13, G13, D12, M12 I/O GVDD - MDM[0:8] M17, C16, K17, E16, B6, C4, H4, K1, E13 O GVDD - MDQS[0:8] M15, A16, G17, G14, A5, D3, H1, L2, C13 I/O GVDD - MDQS[0:8] L17, B16, J16, H14, C6, C2, H3, L4, D13 I/O GVDD - MA[0:15] A8, F9, D9, B9, A9, L10, M10, H10, K10, G10, B8, E10, B10, G6, A10, L11 O GVDD - MBA[0:2] F7, J7, M11 O GVDD - MWE E7 O GVDD - MCAS H7 O GVDD - MRAS L8 O GVDD - DDRSDRAMMemoryInterface MCKE[0:3] F10, C10, J11, H11 O GVDD (11) MCS[0:3] K8, J8, G8, F8 O GVDD - MCK[0:5] H9, B15, G2, M9, A14, F1 O GVDD - MCK[0:5] J9, A15, G1, L9, B14, F2 O GVDD - MODT[0:3] E6, K6, L7, M7 O GVDD - I/O GVDD (36) MDIC[0:1] A19, B19 LocalBusControllerInterface LAD[0:31] E27, B20, H19, F25, A20, C19, E28, J23, A25, K22, B28, D27, D19, J22, K20, D28, D25, B25, E22, F22, F21, C25, C22, B23, F20, A23, A22, E19, A21, D21, F19, B21 I/O BVDD - LDP[0:3] K21, C28, B26, B22 I/O BVDD - LA[27] H21 O BVDD (5)(9) LA[28:31] H20, A27, D26, A28 O BVDD (5)(7)(9) LCS[0:4] J25, C20, J24, G26, A26 O BVDD - 91 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table192. PC8548E Pinout Listing (Continued) PinType Power Supply D23 I/O BVDD (1) LCS6/DMA_DACK2 G20 O BVDD (1) LCS7/DMA_DDONE2 E21 O BVDD (1) LWE0/LBS0/LSDDQM[0] G25 O BVDD (5)(9) LWE1/LBS1/LSDDQM[1] C23 O BVDD (5)(9) LWE2/LBS2/LSDDQM[2] J21 O BVDD (5)(9) LWE3/LBS3/LSDDQM[3] A24 O BVDD (5)(9) LALE H24 O BVDD (5)(8)(9) LBCTL G27 O BVDD (5)(8)(9) LGPL0/LSDA10 F23 O BVDD (5)(9) LGPL1/LSDWE G22 O BVDD (5)(9) LGPL2/LOE/LSDRAS B27 O BVDD (5)(8)(9) LGPL3/LSDCAS F24 O BVDD (5)(9) LGPL4/LGTA/LUPWAIT/LPBSE H23 I/O BVDD - Signal PackagePinNumber LCS5/DMA_DREQ2 Notes LGPL5 E26 O BVDD (5)(9) LCKE E24 O BVDD - LCLK[0:2] E23, D24, H22 O BVDD - LSYNC_IN F27 I BVDD - LSYNC_OUT F28 O BVDD - DMA DMA_DACK[0:1] AD3, AE1 O OVDD (5)(9)(102) DMA_DREQ[0:1] AD4, AE2 I OVDD - DMA_DDONE[0:1] AD2, AD1 O OVDD - ProgrammableInterruptController UDE AH16 I OVDD - MCP AG19 I OVDD - IRQ[0:7] AG23, AF18, AE18, AF20, AG18, AF17, AH24, AE20 I OVDD - IRQ[8] AF19 I OVDD - IRQ[9]/DMA_DREQ3 AF21 I OVDD (1) IRQ[10]/DMA_DACK3 AE19 I/O OVDD (1) IRQ[11]/DMA_DDONE3 AD20 I/O OVDD (1) IRQ_OUT AD18 O OVDD (2)(4) EthernetManagementInterface EC_MDC AB9 O OVDD (5)(9) EC_MDIO AC8 I/O OVDD - 92 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table192. PC8548E Pinout Listing (Continued) Signal PackagePinNumber PinType Power Supply Notes I LVDD - GigabitReferenceClock EC_GTX_CLK125 V11 ThreeSpeeDEthernetController(GigabitEthernet1) TSEC1_RXD[7:0] R5, U1, R3, U2, V3, V1, T3, T2 I LVDD - TSEC1_TXD[7:0] T10, V7, U10, U5, U4, V6, T5, T8 O LVDD (5)(9) TSEC1_COL R4 I LVDD - TSEC1_CRS V5 I/O LVDD (20) TSEC1_GTX_CLK U7 O LVDD - TSEC1_RX_CLK U3 I LVDD - TSEC1_RX_DV V2 I LVDD - TSEC1_RX_ER T1 I LVDD - TSEC1_TX_CLK T6 I LVDD - TSEC1_TX_EN U9 O LVDD (30) TSEC1_TX_ER T7 O LVDD - ThreeSpeedEthernetController(GigabitEthernet2) - TSEC2_RXD[7:0] P2, R2, N1, N2, P3, M2, M1, N3 I - TSEC2_TXD[7:0] N9, N10, P8, N7, R9, N5, R8, N6 O (5)(9)(33) TSEC2_COL P1 I - TSEC2_CRS R6 I/O (20) TSEC2_GTX_CLK P6 O - TSEC2_RX_CLK N4 I - TSEC2_RX_DV P5 I - TSEC2_RX_ER R1 I LVDD - TSEC2_TX_CLK P10 I LVDD - TSEC2_TX_EN P7 O LVDD (30) TSEC2_TX_ER R10 O LVDD (5)(9) (33) ThreeSpeedEthernetController(GigabitEthernet3) (5)(9) TSEC3_TXD[3:0] V8, W10, Y10, W7 O TVDD (29) TSEC3_RXD[3:0] Y1, W3, W5, W4 I TVDD - TSEC3_GTX_CLK W8 O TVDD - TSEC3_RX_CLK W2 I TVDD - TSEC3_RX_DV W1 I TVDD - TSEC3_RX_ER Y2 I TVDD - 93 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table192. PC8548E Pinout Listing (Continued) PinType Power Supply Notes V10 I TVDD - V9 O TVDD (30) Signal PackagePinNumber TSEC3_TX_CLK TSEC3_TX_EN ThreeSpeedEthernetController(GigabitEthernet4) (1)(5)(9) TSEC4_TXD[3:0]/TSEC3_TXD[7:4] AB8, Y7, AA7, Y8 O TVDD TSEC4_RXD[3:0]/TSEC3_RXD[7:4] AA1, Y3, AA2, AA4 I TVDD TSEC4_GTX_CLK AA5 O TVDD - I TVDD (1) (29) (1) TSEC4_RX_CLK/TSEC3_COL Y5 TSEC4_RX_DV/TSEC3_CRS AA3 I/O TVDD (1)(31) TSEC4_TX_EN/TSEC3_TX_ER AB6 O TVDD (1)(30) DUART UART_CTS[0:1] AB3, AC5 I OVDD - UART_RTS[0:1] AC6, AD7 O OVDD - UART_SIN[0:1] AB5, AC7 I OVDD - UART_SOUT[0:1] AB7, AD8 O OVDD - I2Cinterface IIC1_SCL AG22 I/O OVDD (4)(27) IIC1_SDA AG21 I/O OVDD (4)(27) IIC2_SCL AG15 I/O OVDD (4)(27) IIC2_SDA AG14 I/O OVDD (4)(27) SerDes SD_RX[0:7] M28, N26, P28, R26, W26, Y28, AA26, AB28 I XVDD - SD_RX[0:7] M27, N25, P27, R25, W25, Y27, AA25, AB27 I XVDD - SD_TX[0:7] M22, N20, P22, R20, U20, V22, W20, Y22 O XVDD - SD_TX[0:7] M23, N21, P23, R21, U21, V23, W21, Y23 O XVDD - SD_PLL_TPD U28 O XVDD (24) SD_REF_CLK T28 I XVDD (3) SD_REF_CLK T27 I XVDD (3) Reserved AC1, AC3 - - (2) Reserved M26, V28 - - (32) Reserved M25, V27 - - (34) Reserved M20, M21, T22, T23 - - (38) O BVDD - GeneralPurposeOutput GPOUT[24:31] K26, K25, H27, G28, H25, J26, K24, K23 SystemControl 94 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table192. PC8548E Pinout Listing (Continued) PinType Power Supply Notes AG17 I OVDD - HRESET_REQ AG16 O OVDD (29) SRESET AG20 I OVDD - CKSTP_IN AA9 I OVDD - CKSTP_OUT AA8 O OVDD (2)(4) I OVDD Signal PackagePinNumber HRESET Debug TRIG_IN AB2 - (6)(9)(19)(2 TRIG_OUT/READY/QUIESCE AB1 O OVDD MSRCID[0:1] AE4, AG2 O OVDD (5)(6)(9) MSRCID[2:4] AF3, AF1, AF2 O OVDD (6)(19)(29) MDVAL AE5 O OVDD (6) CLK_OUT AE21 O OVDD (11) 9) Clock RTC AF16 I OVDD - SYSCLK AH17 I OVDD - JTAG TCK AG28 I OVDD - TDI AH28 I OVDD (12) TDO AF28 O OVDD - TMS AH27 I OVDD (12) TRST AH23 I OVDD (12) DFT L1_TSTCLK AC25 I OVDD (25) L2_TSTCLK AE22 I OVDD (25) LSSD_MODE AH20 I OVDD (25) TEST_SEL AH14 I OVDD (25) ThermalManagement THERM0 AG1 - (14) THERM1 AH1 - (14) OVDD (9)(19)(29) PowerManagement ASLEEP AH18 O PowerandGroundSignals 95 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table192. PC8548E Pinout Listing (Continued) PinType Power Supply Notes - - - V16, W11, W14, Y18, AA13, AA21, AB11, AB17, AB24, AC4, AC9, AC21, AD6, AD13, AD17, AD19, AE10, AE8, AE24, AF4, AF12, AF22, AF27, AG26 Power for PCI and other standards (3.3V) OVDD - LVDD N8, R7, T9, U6 Power for TSEC1 and TSEC2 (2.5V ,3.3V) LVDD - TVDD W9, Y6 Power for TSEC3 and TSEC4 (2,5V, 3.3V) TVDD - GVDD B3, B11, C7, C9, C14, C17, D4, D6, D10, D15, E2, E8, E11, E18, F5, F12, F16, G3, G7, G9, G11, H5, H12, H15, H17, J10, K3, K12, K16, K18, L6, M4, M8, M13 Power for DDR1 and DDR2 DRAM I/Ovoltage (1.8V,2.5V) GVDD - BVDD C21, C24, C27, E20, E25, G19, G23, H26, J20 Power for Local Bus (1.8V, 2.5V, 3.3V) BVDD - VDD M19, N12, N14, N16, N18, P11, P13, P15, P17, P19, R12, R14, R16, R18, T11, T13, T15, T17, T19, U12, U14, U16, U18, V17, V19 Power for Core (1.1V) VDD - SVDD L25, L27, M24, N28, P24, P26, R24, R27, T25, V24, V26, W24, W27, Y25, AA28, AC27 Core Power for SerDes transceivers (1.1V) SVDD - XVDD L20, L22, N23, P21, R22, T20, U23, V21, W22, Y20 Pad Power for SerDes transceivers (1.1V) XVDD - AVDD_LBIU J28 Power for local bus PLL (1.1V) - (26) AVDD_PCI1 AH21 Power for PCI1 PLL (1.1V) - (26) AVDD_PCI2 AH22 Power for PCI2 PLL (1.1V) - (26) AVDD_CORE AH15 Power for e500 PLL (1.1V) - (26) Signal PackagePinNumber GND A11, B7, B24, C1, C3, C5, C12, C15, C26, D8, D11, D16, D20, D22, E1, E5, E9, E12, E15, E17, F4, F26, G12, G15, G18, G21, G24, H2, H6, H8, H28, J4, J12, J15, J17, J27, K7, K9, K11, K27, L3, L5, L12, L16, N11, N13, N15, N17, N19, P4, P9, P12, P14, P16, P18, R11, R13, R15, R17, R19, T4, T12, T14, T16, T18, U8, U11, U13, U15, U17, U19, V4, V12, V18, W6, W19, Y4, Y9, Y11, Y19, AA6, AA14, AA17, AA22, AA23, AB4, AC2, AC11, AC19, AC26, AD5, AD9, AD22, AE3, AE14, AF6, AF10, AF13, AG8, AG27 K28, L24, L26, N24, N27, P25, R28, T24, T26, U24, V25, W28, Y24, Y26, AA24, AA27, AB25, AC28 L21, L23, N22, P20, R23, T21, U22, V20, W23, Y21 U27 OVDD 96 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table192. PC8548E Pinout Listing (Continued) PinType Power Supply Signal PackagePinNumber Notes AVDD_PLAT AH19 Power for CCB PLL (1.1V) - (26) AVDD_SRDS U25 Power for SRDSPLL (1.1V) - (26) SENSEVDD M14 O VDD (13) SENSEVSS M16 - - (13) AnalogSignals MVREF A18 I Reference voltage signal for DDR MVREF - SD_IMP_CAL_RX L28 I 200 to GND - SD_IMP_CAL_TX AB26 I 100 to GND - SD_PLL_TPA U26 O - (24) Notes: 1. All multiplexed signals are listed only once and do not re-occur. For example, LCS5/DMA_REQ2 is listed only once in the local bus controller section, and is not mentioned in the DMA section even though the pin also functions as DMA_REQ2. 2. Recommend a weak pull-up resistor (2-10 k) be placed on this pin to OVDD. 3. A valid clock must be provided at POR if TSEC4_TXD[2] is set = 1. 4. This pin is an open drain signal. 5. This pin is a reset configuration pin. It has a weak internal pull-up P-FET which is enabled only when the processor is in the reset state. This pull-up is designed such that it can be overpowered by an external 4.7-k pull-down resistor. However, if the signal is intended to be high after reset, and if there is any device on the net which might pull down the value of the net at reset, then a pullup or active driver is needed. 6. Treat these pins as no connects (NC) unless using debug address functionality. 7. The value of LA[28:31] during reset sets the CCB clock to SYSCLK PLL ratio. These pins require 4.7-k pull-up or pull-down resistors. See Section 20.2, CCB/SYSCLK PLL Ratio. 8. The value of LALE, LGPL2, and LBCTL at reset set the e500 core clock to CCB clock PLL ratio. These pins require 4.7-k pullup or pull-down resistors. See the Section 20.3, e500 Core PLL Ratio. 9. Functionally, this pin is an output, but structurally it is an I/O because it either samples configuration input during reset or because it has other manufacturing test functions. This pin therefore is described as an I/O for boundary scan. 10. This pin functionally requires a pull-up resistor, but during reset it is a configuration input that controls 32- vs. 64-bit PCI operation. Therefore, it must be actively driven low during reset by reset logic if the device is to be configured to be a 64bit PCI device. See the PCISpecification. 11. This output is actively driven during reset rather than being three-stated during reset. 12. These JTAG pins have weak internal pull-up P-FETs that are always enabled. 13. These pins are connected to the VDD/GND planes internally and may be used by the core power supply to improve tracking and regulation. 14. Internal thermally sensitive resistor. 15. No connections must be made to these pins if they are not used. 16. These pins are not connected for any use. 97 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 17. PCI specifications recommend that a weak pull-up resistor (210 k) be placed on the higher order pins to OVDD when using 64-bit buffer mode (pins PCI_AD[63:32] and PCI1_C_BE[7:4]). 19. If this pin is connected to a device that pulls down during reset, an external pull-up is required to drive this pin to a safe state during reset. 20. This pin is only an output in FIFO mode when used as Rx flow control. 24. Do not connect. 25. These are test signals for factory use only and must be pulled up (100-1 k) to OVDD for normal machine operation. 26. Independent supplies derived from board VDD. 27. Recommend a pull-up resistor (~1 k) be placed on this pin to OVDD. 29. The following pins must NOT be pulled down during power-on reset: TSEC3_TXD[3], TSEC4_TXD3/TSEC3_TXD7, HRESET_REQ, TRIG_OUT/READY/QUIESCE, MSRCID[2:4], ASLEEP. 30. This pin requires an external 4.7-k pull-down resistor to prevent PHY from seeing a valid transmit enable before it is actively driven. 31. This pin is only an output in eTSEC3 FIFO mode when used as Rx flow control. 32. These pins must be connected to XVDD. 33. TSEC2_TXD1, TSEC2_TX_ER are multiplexed as cfg_dram_type[0:1]. They must be valid at power-up, even before HRESET assertion. 34. These pins must be pulled to ground through a 300 (10%) resistor. 35. When a PCI block is disabled, either the POR config pin that selects between internal and external arbiter must be pulled down to select external arbiter if there is any other PCI device connected on the PCI bus, or leave the PCIn_AD pins as no connect or terminated through 2-10 k pull-up resistors with the default of internal arbiter if the PCIn_AD pins are not connected to any other PCI device. The PCI block drives the PCIn_AD pins if it is configured to be the PCI arbiter-through POR config pins-irrespective of whether it is disabled via the DEVDISR register or not. It may cause contention if there is any other PCI device connected on the bus. 36. MDIC0 is grounded through an 18.2 precision 1% resistor and MDIC1 is connected to GVDD through an 18.2 precision 1% resistor. These pins are used for automatic calibration of the DDR IOs. 38. These pins must be left floating. 39. If PCI1 or PCI2 is configured as PCI asynchronous mode, a valid clock must be provided on pin PCI1_CLK or PCI2_CLK. Otherwise the processor will not boot up. 40. These pins must be connected to GND. 101.This pin requires an external 4.7-k resistor to GND. 102.For Rev. 2.x silicon, DMA_DACK[0:1] must be 0b11 during POR configuration; for rev. 1.x silicon, the pin values during POR configuration are dont care. 103.If these pins are not used as GPINn(general-purpose input), they must be pulled low (to GND) or high (to LVDD) through 210 k resistors. 104.These must be pulled low to GND through 2-10 k resistors if they are not used. 105.These must be pulled low or high to LVDD through 2-10 k resistors if they are not used. 106.For rev. 2.x silicon, DMA_DACK[0:1] must be 0b10 during POR configuration; for rev. 1.x silicon, the pin values during POR configuration are dont care. 107.For rev. 2.x silicon, DMA_DACK[0:1] must be 0b01 during POR configuration; for rev. 1.x silicon, the pin values during POR configuration are dont care. 108.For rev. 2.x silicon, DMA_DACK[0:1] must be 0b11 during POR configuration; for rev. 1.x silicon, the pin values during POR configuration are dont care. 109.This is a test signal for factory use only and must be pulled down (100 - 1 k) to GND for normal machine operation. 110.These pins must be pulled high to OVDD through 210 k resistors. 98 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 111.If these pins are not used as GPINn(general-purpose input), they must be pulled low (to GND) or high (to OVDD) through 2-10 k resistors. 112.This pin must not be pulled down during POR configuration. 113.These should be pulled low or high to OVDD through 210 k resistors. 20. CLOCKING This section describes the PLL configuration of the PC8548E. Note that the platform clock is identical to the core complex bus (CCB) clock. 20.1 ClockRanges Table 20-1 provides the clocking specifications for the processor cores and Table 20-2 provides the clocking specifications for the memory bus. Table201. Processor Core Clocking Specifications MaximumProcessorCoreFrequency 1000MHz 1200MHz 1333MHz Characteristic Min Max Min Max Min Max Unit Notes e500 core processor frequency 800 1000 800 1200 800 1333 MHz (1)(2) Notes: 1. Caution:The CCB to SYSCLK ratio and e500 core to CCB ratio settings must be chosen such that the resulting SYSCLK frequency, e500 (core) frequency, and CCB frequency do not exceed their respective maximum or minimum operating frequencies. Refer to Section 20.2 "CCB/SYSCLK PLL Ratio" on page 99, and Section 20.3 "e500 Core PLL Ratio" on page 101, for ratio settings. 2. The minimum e500 core frequency is based on the minimum platform frequency of 333 MHz. Table202. Memory Bus Clocking Specifications MaximumProcessorCoreFrequency 1000,1200,1333MHz Characteristic Min Max Unit Notes Memory bus clock speed 166 266 MHz (1)(2) Notes: 1. Caution: The CCB clock to SYSCLK ratio and e500 core to CCB clock ratio settings must be chosen such that the resulting SYSCLK frequency, e500 (core) frequency, and CCB clock frequency do not exceed their respective maximum or minimum operating frequencies. Refer to Section 20.2 "CCB/SYSCLK PLL Ratio" on page 99, and Section 20.3 "e500 Core PLL Ratio" on page 101, for ratio settings. 2. The memory bus speed is half of the DDR/DDR2 data rate, hence, half of the platform clock frequency. 20.2 CCB/SYSCLKPLLRatio The CCB clock is the clock that drives the e500 core complex bus (CCB), and is also called the platform clock. The frequency of the CCB is set using the following reset signals, as shown in Table 20-3: * SYSCLK input signal * Binary value on LA[28:31] at power up 99 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Note that there is no default for this PLL ratio; these signals must be pulled to the desired values. Also note that the DDR data rate is the determining factor in selecting the bus frequency, since the frequency must equal the DDR data rate. For specifications on the PCI_CLK, refer to the PCI 2.2 Specification. Table203. CCB Clock Ratio BinaryValueofLA[28:31]Signals CCB:SYSCLKRatio 0000 16:1 0001 Reserved 0010 2:1 0011 3:1 0100 4:1 0101 5:1 0110 6:1 0111 Reserved 1000 8:1 1001 9:1 1010 10:1 1011 Reserved 1100 12:1 1101 20:1 1110 Reserved 1111 Reserved 100 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 20.3 e500CorePLLRatio Table 20-4 describes the clock ratio between the e500 core complex bus (CCB) and the e500 core clock. This ratio is determined by the binary value of LBCTL, LALE and LGPL2 at power up, as shown in Table 20-4. Table204. 20.4 e500 Core to CCB Clock Ratio BinaryValueofLBCTL,LALE,LGPL2Signals e500core:CCBClockRatio 000 4:1 001 9:2 010 Reserved 011 3:2 100 2:1 101 5:2 110 3:1 111 7:2 FrequencyOptions 20.4.1 SysclktoPlatformFrequencyOptions Table 20-5 shows the expected frequency values for the platform frequency when using a CCB clock to SYSCLK ratio in comparison to the memory bus clock speed. Table205. Frequency Options of SYSCLK with Respect to Memory Bus Speeds SYSCLK (MHz) CCBto SYSCLKRatio 16.66 25 33.33 41.66 66.66 83 100 111 133.33 333 400 445 533 Platform/CCBFrequency(MHz) 2 3 4 400 500 5 333 415 6 400 500 8 333 9 375 10 333 417 12 400 500 16 20 Note: 333 400 333 533 533 500 Due to errata Gen 13 the max sys clk frequency should not exceed 100 MHz if the core clk frequency is below 1200 MHz. 101 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 21. THERMAL This section describes the thermal specifications of the PC8548. 21.1 ThermalforRevision2.0SiliconHiCTEFCCBGAwithFullLid This section describes the thermal specifications for the HiCTE FC-CBGA package for revision 2.0 silicon. Table 21-1 shows the package thermal characteristics. Table211. Package Thermal Characteristics for HiCTE FC-CBGA Characteristic JEDECBoard Symbol Value Unit Notes Die Junction-to-Ambient (Natural Convection) Single-layer board (1s) RJA 17 C/W (1)(2) Die Junction-to-Ambient (Natural Convection) Four-layer board (2s2p) RJA 12 C/W (1)(2) Die Junction-to-Ambient (200 ft/min) Single-layer board (1s) RJA 11 C/W (1)(2) Die Junction-to-Ambient (200 ft/min) Four-layer board (2s2p) RJA 8 C/W (1)(2) Die Junction-to-Board N/A RJB 3 C/W (3) Die Junction-to-Case N/A RJC 0.8 C/W (4) Notes: 1. Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of other components on the board, and board thermal resistance. 2. Per JEDEC JESD51-6 with the board (JESD51-7) horizontal. 3. Thermal resistance between the die and the printed circuit board per JEDEC JESD51-8. Board temperature is measured on the top surface of the board near the package. 4. Thermal resistance between the die and the case top surface as measured by the cold plate method (MIL SPEC-883 Method 1012.1). The cold plate temperature is used for the case temperature, measured value includes the thermal resistance of the interface layer. 21.2 ThermalforRevision2.1SiliconHiCTEFCCBGAwithFullLid This section describes the thermal specifications for the HiCTE FC-CBGA package for revision 2.1 silicon. Table 21-2 shows the package thermal characteristics. Table212. Package Thermal Characteristics for HiCTE FC-CBGA Characteristic JEDECBoard Symbol Value Unit Notes Four-layer board (2s2p) RJA 12 C/W (1)(2) Die Junction-to-Board N/A RJB 3.52 C/W (3) Die Junction-to-Case N/A RJC 0.4 C/W (3) Die Junction-to-Ambient (Natural Convection) Notes: 1. Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of other components on the board, and board thermal resistance. 2. Per JEDEC JESD51-6 with the board (JESD51-7) horizontal. 3. Those values are simulation results. 102 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 21.3 ThermalforVersion2.1.1and2.1.2SiliconFCPBGAwithFullLidandVersion3.1.xSiliconwithStampedLid This section describes the thermal specifications for the FC-PBGA package for revision 2.1.1, 2.1.2 and 3.0 silicon. This table shows the package thermal characteristics. Table213. Package Thermal Characteristics for FC-PBGA Characteristic JEDECBoard Symbol Value Unit Notes Die Junction-to-Ambient (Natural Convection) Single-layer board (1s) RJA 18 C/W (1)(2) Die Junction-to-Ambient (Natural Convection) Four-layer board (2s2p) RJA 13 C/W (1)(2) Die Junction-to-Ambient (200 ft/min) Single-layer board (1s) RJA 13 C/W (1)(2) Die Junction-to-Ambient (200 ft/min) Four-layer board (2s2p) RJA 9 C/W (1)(2) Die Junction-to-Board N/A RJB 5 C/W (3) Die Junction-to-Case N/A RJC 0.8 C/W (4) Notes: 1. Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air flow, power dissipation of other components on the board, and board thermal resistance. 2. Per JEDEC JESD51-6 with the board (JESD51-7) horizontal. 3. Thermal resistance between the die and the printed circuit board per JEDEC JESD51-8. Board temperature is measured on the top surface of the board near the package. 4. Thermal resistance between the die and the case top surface as measured by the cold plate method (MIL SPEC-883 Method 1012.1). The cold plate temperature is used for the case temperature, measured value includes the thermal resistance of the interface layer. 21.4 HeatSinkSolution Every system application has different conditions that the thermal management solution must solve. As such, providing a recommended heat sink has not been found to be very useful. When a heat sink is chosen, give special consideration to the mounting technique. Mounting the heat sink to the printed circuit board is the recommended procedure using a maximum of 10 lbs. force (45 Newtons) perpendicular to the package and board. Clipping the heat sink to the package is not recommended. 22. SYSTEMDESIGNINFORMATION This section provides electrical and thermal design recommendations for successful application of the PC8548E. 22.1 SystemClocking This device includes five PLLs, as follows: 1. The platform PLL generates the platform clock from the externally supplied SYSCLK input. The frequency ratio between the platform and SYSCLK is selected using the platform PLL ratio configuration bits as described in Section 20.2 "CCB/SYSCLK PLL Ratio" on page 99. 2. The e500 core PLL generates the core clock as a slave to the platform clock. The frequency ratio between the e500 core clock and the platform clock is selected using the e500 PLL ratio configuration bits as described in Section 20.3 "e500 Core PLL Ratio" on page 101. 3. The PCI PLL generates the clocking for the PCI bus. 4. The local bus PLL generates the clock for the local bus. 5. There is a PLL for the SerDes block. 103 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 22.2 22.2.1 PowerSupplyDesign PLLPowerSupplyFiltering Each of the PLLs listed above is provided with power through independent power supply pins (AVDD_PLAT, AVDD_CORE, AVDD_PCI, AVDD_LBIU, and AVDD_SRDS respectively). The AVDD level should always be equivalent to VDD, and preferably these voltages will be derived directly from VDD through a low frequency filter scheme such as the following. There are a number of ways to reliably provide power to the PLLs, but the recommended solution is to provide independent filter circuits per PLL power supply as illustrated in Figure 22-1, one to each of the AVDD pins. By providing independent filters to each PLL the opportunity to cause noise injection from one PLL to the other is reduced. This circuit is intended to filter noise in the PLLs resonant frequency range from a 500 kHz to 10 MHz range. It should be built with surface mount capacitors with minimum Effective Series Inductance (ESL). Consistent with the recommendations of Dr. Howard Johnson in High Speed Digital Design: A Handbook of Black Magic (Prentice Hall, 1993), multiple small capacitors of equal value are recommended over a single large value capacitor. Each circuit should be placed as close as possible to the specific AVDD pin being supplied to minimize noise coupled from nearby circuits. It should be possible to route directly from the capacitors to the AVDD pin, which is on the periphery of 783 HITCE the footprint, without the inductance of vias. Figure 22-1 through Figure 22-3 shows the PLL power supply filter circuits. Figure221. PLL Power Supply Filter Circuit with PLAT Pins 150 VDD AVDD_PLAT 2.2 F 2.2 F Low ESL Surface Mount Capacitors GND Figure222. PLL Power Supply Filter Circuit with CORE Pins 180 VDD AVDD_CORE 2.2 F 2.2 F Low ESL Surface Mount Capacitors GND Figure223. PLL Power Supply Filter Circuit with PCI/LBIU Pins 10 VDD AVDD_PCI/AVDD_LBIU 2.2 F 2.2 F GND Low ESL Surface Mount Capacitors 104 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E The AVDD_SRDS signal provides power for the analog portions of the SerDes PLL. To ensure stability of the internal clock, the power supplied to the PLL is filtered using a circuit similar to the one shown in following figure. For maximum effectiveness, the filter circuit is placed as closely as possible to the AVDD_SRDS ball to ensure it filters out as much noise as possible. The ground connection should be near the AVDD_SRDS ball. The 0.003 F capacitor is closest to the ball, followed by the two 2.2 F capacitors, and finally the 1 ohm resistor to the board supply plane. The capacitors are connected from AVDD_SRDS to the ground plane. Use ceramic chip capacitors with the highest possible self-resonant frequency. All traces should be kept short, wide and direct. Figure224. SerDes PLL Power Supply Filter 1.0 SVDD AVDD_SRDS 2.2 F (1) 2.2 F (1) 0.003 F GND Note: 1. An 0805 sized capacitor is recommended for system initial bring-up. Note the following: * AVDD_SRDS should be a filtered version of SVDD * Signals on the SerDes interface are fed from the XVDD power plane * Power: XVDD consumes less than 300 mW; SVDD + AVDD_SRDS consumes less than 750 mW 22.3 DecouplingRecommendations Due to large address and data buses, and high operating frequencies, the device can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. This noise must be prevented from reaching other components in the PC8548E system, and the device itself requires a clean, tightly regulated source of power. Therefore, it is recommended that the system designer place at least one decoupling capacitor at each VDD, TVDD, BVDD, OVDD, GVDD, and LVDD pin of the device. These decoupling capacitors should receive their power from separate VDD, TVDD, BVDD, OVDD, GVDD, LVDD, and GND power planes in the PCB, utilizing short low impedance traces to minimize inductance. Capacitors must be placed directly under the device using a standard escape pattern as much as possible. If some caps are to be placed surrounding the part it should be routed with large trace to minimize the inductance. These capacitors should have a value of 0.1 F. Only ceramic SMT (surface mount technology) capacitors should be used to minimize lead inductance, preferably 0402 or 0603 sizes. In addition, it is recommended that there be several bulk storage capacitors distributed around the PCB, feeding the VDD, TVDD, BVDD, OVDD, GVDD, and LVDD, planes, to enable quick recharging of the smaller chip capacitors. These bulk capacitors should have a low ESR (equivalent series resistance) rating to ensure the quick response time necessary. They should also be connected to the power and ground planes through two vias to minimize inductance. Suggested bulk capacitors: 100-330 F (AVX TPS tantalum or Sanyo OSCON). However, customers should work directly with their power regulator vendor for best values, types and quantity of bulk capacitors. 105 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 22.4 SerDesBlockPowerSupplyDecouplingRecommendations The SerDes block requires a clean, tightly regulated source of power (SVDD and XVDD) to ensure low jitter on transmit and reliable recovery of data in the receiver. An appropriate decoupling scheme is outlined below. Only surface mount technology (SMT) capacitors should be used to minimize inductance. Connections from all capacitors to power and ground should be done with multiple vias to further reduce inductance. * First, the board should have at least 10 x 10 nF SMT ceramic chip capacitors as close as possible to the supply balls of the device. Where the board has blind vias, these capacitors should be placed directly below the chip supply and ground connections. Where the board does not have blind vias, these capacitors should be placed in a ring around the device as close to the supply and ground connections as possible. * Second, there should be a 1 F ceramic chip capacitor on each side of the device. This should be done for all SerDes supplies. * Third, between the device and any SerDes voltage regulator there should be a 10 F, low equivalent series resistance (ESR) SMT tantalum chip capacitor and a 100 F, low ESR SMT tantalum chip capacitor. This should be done for all SerDes supplies. 22.5 ConnectionRecommendations To ensure reliable operation, it is highly recommended to connect unused inputs to an appropriate signal level. All unused active low inputs should be tied to V DD,TVDD, BVDD, OVDD, GVDD, and LVDD, as required. All unused active high inputs should be connected to GND. All NC (no-connect) signals must remain unconnected. Power and ground connections must be made to all external VDD,TVDD, BVDD, OVDD, GVDD, and LVDD, and GND pins of the device. 22.6 PullUpandPullDownResistorRequirements The PC8548E requires weak pull-up resistors (2-10 k is recommended) on open drain type pins including I2C pins and MPIC interrupt pins. Correct operation of the JTAG interface requires configuration of a group of system control pins as demonstrated in Figure 22-7. Care must be taken to ensure that these pins are maintained at a valid deasserted state under normal operating conditions as most have asynchronous behavior and spurious assertion will give unpredictable results. The following pins must NOT be pulled down during power-on reset: TSEC3_TXD[3], HRESET_REQ, TRIG_OUT/READY/QUIESCE, MSRCID[2:4], ASLEEP. The DMA_DACK[0:1] and TEST_SEL/ TEST_SEL pins must be set to a proper state during POR configuration. Please refer to the pinlist table of the individual device for more details. Refer to the PCI 2.2 specification for all pull-ups required for PCI. 106 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 22.7 OutputBufferDCImpedance The PC8548E drivers are characterized over process, voltage, and temperature. For all buses, the driver is a push-pull single-ended driver type (open drain for I2C). To measure Z0 for the single-ended drivers, an external resistor is connected from the chip pad to OVDD or GND. Then, the value of each resistor is varied until the pad voltage is OVDD/2 (see Figure 22-5). The output impedance is the average of two components, the resistances of the pull-up and pull-down devices. When data is held high, SW1 is closed (SW2 is open) and RP is trimmed until the voltage at the pad equals OVDD/2. RP then becomes the resistance of the pull-up devices. RP and RN are designed to be close to each other in value. Then, Z0 = (RP + RN)/2. Figure225. Driver Impedance Measurement OVDD RN SW2 Pad Data SW1 RP OGND Table 22-1 summarizes the signal impedance targets. The driver impedances are targeted at minimum VDD, nominal OVDD, 105C. Table221. Impedance Characteristics Impedance LocalBus,Ethernet,DUART, Control,Configuration,Power Management PCI DDR DRAM Symbol Unit RN 43 Target 25 Target 20 Target Z0 W RP 43 Target 25 Target 20 Target Z0 W Note: Nominal supply voltages. See Table 2-1, TC = 105C. 107 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 22.8 ConfigurationPinMuxing The PC8548E provides the user with power-on configuration options which can be set through the use of external pull-up or pull-down resistors of 4.7 k on certain output pins (see customer visible configuration pins). These pins are generally used as output only pins in normal operation. While HRESET is asserted however, these pins are treated as inputs. The value presented on these pins while HRESET is asserted, is latched when HRESET deasserts, at which time the input receiver is disabled and the I/O circuit takes on its normal function. Most of these sampled configuration pins are equipped with an on-chip gated resistor of approximately 20 k. This value should permit the 4.7 k resistor to pull the configuration pin to a valid logic low level. The pull-up resistor is enabled only during HRESET (and for platform /system clocks after HRESET deassertion to ensure capture of the reset value). When the input receiver is disabled the pull-up is also, thus allowing functional operation of the pin as an output with minimal signal quality or delay disruption. The default value for all configuration bits treated this way has been encoded such that a high voltage level puts the device into the default state and external resistors are needed only when non-default settings are required by the user. Careful board layout with stubless connections to these pull-down resistors coupled with the large value of the pull-down resistor should minimize the disruption of signal quality or speed for output pins thus configured. The platform PLL ratio and e500 PLL ratio configuration pins are not equipped with these default pullup devices. 22.9 JTAGConfigurationSignals Boundary-scan testing is enabled through the JTAG interface signals. The TRST signal is optional in the IEEE Std 1149.1 specification, but is provided on all processors that implement the Power Architecture. The device requires TRST to be asserted during reset conditions to ensure the JTAG boundary logic does not interfere with normal chip operation. While it is possible to force the TAP controller to the reset state using only the TCK and TMS signals, generally systems will assert TRST during the power-on reset flow. Simply tying TRST to HRESET is not practical because the JTAG interface is also used for accessing the common on-chip processor (COP) function. The COP function of these processors allow a remote computer system (typically, a PC with dedicated hardware and debugging software) to access and control the internal operations of the processor. The COP interface connects primarily through the JTAG port of the processor, with some additional status monitoring signals. The COP port requires the ability to independently assert HRESET or TRST in order to fully control the processor. If the target system has independent reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, then the COP reset signals must be merged into these signals with logic. The arrangement shown in Figure 22-7 allows the COP port to independently assert HRESET or TRST, while ensuring that the target can drive HRESET as well. The COP interface has a standard header, shown in Figure 22-7, for connection to the target system, and is based on the 0.025" square-post, 0.100" centered header assembly (often called a Berg header). The connector typically has pin 14 removed as a connector key. 108 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E The COP header adds many benefits such as breakpoints, watchpoints, register and memory examination/modification, and other standard debugger features. An inexpensive option can be to leave the COP header unpopulated until needed. There is no standardized way to number the COP header; consequently, many different pin numbers have been observed from emulator vendors. Some are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to-bottom, while still others number the pins counter clockwise from pin 1 (as with an IC). Regardless of the numbering, the signal placement recommended in Figure 22-7 is common to all known emulators. 22.9.1 TerminationofUnusedSignals If the JTAG interface and COP header will not be used, e2v recommends the following connections: * TRST should be tied to HRESET through a 0 k isolation resistor so that it is asserted when the system reset signal (HRESET) is asserted, ensuring that the JTAG scan chain is initialized during the power-on reset flow. e2v recommends that the COP header be designed into the system as shown in Figure 22-6. If this is not possible, the isolation resistor will allow future access to TRST in case a JTAG interface may need to be wired onto the system in future debug situations. * No pull-up/pull-down is required for TDI, TMS, TDO, or TCK. Figure226. COP Connector Physical Pinout COP_TDO 1 2 NC COP_TDI 3 4 COP_TRST COP_RUN/STOP 5 6 COP_VDD_SENSE COP_TCK 7 8 COP_CHKSTP_IN COP_TMS 9 10 NC COP_SRESET 11 12 NC COP_HRESET 13 KEY No pin COP_CHKSTP_OUT 15 16 GND 109 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Figure227. JTAG Interface Connection OVDD SRESET From Target Board Sources (if any) HRESET 10 k SRESET 10 k HRESET 6 1 COP_HRESET 13 10 k COP_SRESET 11 10 k B A 5 10 k 10 k TRST COP_TRST 2 3 4 5 6 7 8 9 10 11 12 13 KEY No pin 15 6 5 COP Header 1 15 COP_VDD_SENSE 2 10 NC COP_CHKSTP_OUT CKSTP_OUT 10 k 14 3 10 k COP_CHKSTP_IN CKSTP_IN 8 COP_TMS 16 9 COP Connector Pysical Pinout 1 4 1 TMS COP_TDO TDO COP_TDI 3 TDI COP_TCK 7 TCK 2 NC 10 NC 12 4 16 Notes: 1. The COP port and target board should be able to independently assert HRESET and TRST to the processor in order to fully control the processor as shown here. 2. Populate this with a 10 resistor for short-circuit/current-limiting protection. 3. The KEY location (pin 14) is not physically present on the COP header. 4. Although pin 12 is defined as a No-Connect, some debug tools may use pin 12 as an additional GND pin for improved signal integrity. 5. This switch is included as a precaution for BSDL testing. The switch should be closed to position A during BSDL testing to avoid accidentally asserting the TRST line. If BSDL testing is not being performed, this switch should be closed to position B. 6. Asserting SRESET causes a machine check interrupt to the e500 core. 110 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 22.10 GuidelinesforHighSpeedInterfaceTermination 22.10.1 SerDesInterfaceEntirelyUnused If the high-speed SerDes interface is not used at all, the unused pin should be terminated as described in this section. The following pins must be left unconnected (float): * SD_TX[7:0] * SD_TX[7:0] * Reserved pins T22, T23, M20, M21 The following pins must be connected to GND: * SD_RX[7:0] * SD_RX[7:0] * SD_REF_CLK * SD_REF_CLK Note: It is recommended to power down the unused lane through SERDESCR1[0:7] register (offset = 0xE_0F08) (This prevents the oscillations and holds the receiver output in a fixed state.) that maps to SERDES lane 0 to lane 7 accordingly. Pins V28 and M26 must be tied to XVDD. Pins V27 and M25 must be tied to GND through a 300 resistor. In Rev 2.0 silicon, POR configuration pin cfg_srds_en on TSEC4_TXD[2] /TSEC3_TXD[6] can be used to power down SerDes block. 22.10.2 SerDesInterfacePartlyUnused If only part of the high speed SerDes interface pins are used, the remaining high-speed serial I/O pins should be terminated as described in this section. The following pins must be left unconnected (float) if not used: * SD_TX[7:0] * SD_TX[7:0] * reserved pins: T22, T23, M20, M21 The following pins must be connected to GND if not used: * SD_RX[7:0] * SD_RX[7:0] * SD_REF_CLK * SD_REF_CLK Note: It is recommended to power down the unused lane through SERDESCR1[0:7] register (offset = 0xE_0F08) (This prevents the oscillations and holds the receiver output in a fixed state.) that maps to SERDES lane 0 to lane 7 accordingly. Pins V28 and M26 must be tied to XVDD. Pins V27 and M25 must be tied to GND through a 300 resistor. 111 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 22.11 GuidelineforPCIInterfaceTemination PCI termination if PCI 1 or PCI 2 is not used at all. Option 1 If PCI arbiter is enabled during POR, * All AD pins will be driven to the stable states after POR. Therefore, all ADs pins can be floating. * All PCI control pins can be grouped together and tied to OVDD through a single 10 k resistor. * It is optional to disable PCI block through DEVDISR register after POR reset. Option 2 If PCI arbiter is disabled during POR, * All AD pins will be in the input state. Therefore, all ADs pins need to be grouped together and tied to OVDD through a single (or multiple) 10 k resistor(s). * All PCI control pins can be grouped together and tied to OVDD through a single 10K resistor. * It is optional to disable PCI block through DEVDISR register after POR reset. 22.12 GuidelineforLBIUParityTemination In LBIU parity pins are not used. Here is the termination recommendation: For LDP[0:3]: tie them to ground or the power supply rail via a 4.7K resistor. For LPBSE: tie it to the power supply rail via a 4.7K resistor (pull-up resistor). 23. DEFINITIONS 23.1 LifeSupportApplications These products are not designed for use in life support appliances, devices or systems where malfunction of these products can reasonably be expected to result in personal injury. e2v customers using or selling these products for use in such applications do so at their own risk and agree to fully indemnify e2v for any damages resulting from such improper use or sale. 112 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 24. ORDERINGINFORMATION Table241. Ordering Information XX 8548 z y xx xxx xx x x xx Product (Code)(1) Part Identifier Encryption Temperature Range(1) Package(1) QualityLevel Processor Frequency Platform Frequency RevisionLevel(1) V62 Extension PC 8548 E: Encrypted Blank: NonEncrypted V: Tc = -40C Tj= 110C M: Tc = -55C ; Tj= 125C GH: HiTCE CBGA Blank: Standard AU= 1333 MHz AT= 1200 MHz AQ= 1000 MHz J= 533 MHz G= 400 MHz B = version 2.1.2 PC 8548 E: Encrypted Blank: NonEncrypted M: Tc = -55C ; Tj= 125C GH: HiTCE CBGA BYL: Flight Model QML-Y like EQM: Eng. Qualified Model EM: Eng. Model AT= 1200 MHz G= 400 MHz B = version 2.1.2 PC(1) 8548 E: Encrypted Blank: NonEncrypted V: Tc = -40C ; Tj= 110C M: Tc = -55C ; Tj= 125C ZF: PBGA Blank: Standard AU= 1333 MHz AT= 1200 MHz AQ= 1000 MHz J= 533 MHz G= 400 MHz D = version 3.1 PC(1) 8548 E: Encrypted M: Tc = -55C Tj= 125C ZF: PBGA Blank: Standard AU= 1333 MHz AT= 1200 MHz AQ= 1000 MHz J= 533 MHz G= 400 MHz D = version 3.1 PCX(1) 8548 E: Encrypted F: Tc = -40C Tj= 125C ZF: PBGA U: Upscreening AU= 1333 MHz J= 533 MHz D = version 3.1 E: Encrypted V: Tc = -40C Tj= 110C F: Tc = -40C Tj= 125C M: Tc = -55C Tj= 125C ZG: PBGA C4: Pb-free / C5: Leaded Blank: Standard AU= 1333 MHz AT= 1200 MHz J= 533 MHz G= 400 MHz D = version 3.1 PC 8548 Table242. -EP: V62 Part Number DLA Part Number DeviceType e2vPartNumber CAGEcode DLAPartNumber ProcessorFrequency PlatformFrequency 01 PC8548EMZFAUJD-EP F8385 V62/14623-01XZ 1333 MHz 533 MHz 02 PC8548EMZFATGD-EP F8385 V62/14623-02XZ 1200 MHz 400 MHz 03 PC8548EMZFAQGD-EP F8385 V62/14623-03XZ 1000 MHz 400 MHz Notes: 1. For availability of the different versions, contact your local e2v sales office. 2. The letter X in the part number designates a "Prototype" product that has not been qualified by e2v. Reliability of a PCX part-number is not guaranteed and such part-number shall not be used in Flight Hardware. Product changes may still occur while shipping prototypes. 113 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 25. DOCUMENTREVISIONHISTORY Table 25-1 provides a revision history for this hardware specification. Table251. Document Revision History Revision Number Date O 01/2016 Updated Section 24. "Ordering Information" on page 113 N 09/2016 Updated Section 24. "Ordering Information" on page 113: Added PC(X) for ZG package line. M 02/15 Updated Section 24. "Ordering Information" on page 113: Added PCX for ZF package line. L 09/14 Updated Section 24. "Ordering Information" on page 113: Add DLA V62 and QML-Y like parts number. K 01/14 Updated back page with new address for Milpitas office J 10/12 In Section 24. "Ordering Information" on page 113, added new PCX device for rev. D and updated rev. B. I 04/12 * Updated Section 3 "Those values are simulation results." on page 102, with version 3.0 silicon information. * Added Section 19-2 "Mechanical Dimensions and Bottom Surface Nomenclature of the FC-PBGA with Stamped Lid" on page 89 * Updated Section 24. "Ordering Information" on page 113, with version 3.0 silicon information. * Removed Note from Section 5.1 "Power-On Ramp Rate" on page 18. * Changed the Table 5-3 title to "Power Supply Ramp Rate". * Removed Table 6-1. DDR2 SDRAM DC Electrical Characteristics for GVDD(typ) = 1.8V * Updated the title of Section 3 "Those values are simulation results." on page 102 to include Thermal Version 2.1.3 and Version 3.1.x Silicon. * Corrected the leaded Solder Ball composition in Table 19-1, "Package Parameters," on page 87. * Updated Section 24. "Ordering Information" on page 113, with Version 3.1.x silicon information. * Updated the Min and Max value of TDO in the valid times row of Table 12-2, "JTAG AC Timing Specifications (Independent of SYSCLK)(1)," on page 51, from 4 and 25 to 2 and 10 respectively . * Added Section 14.1 "GPOUT/GPIN Electrical Characteristics" on page 56. * Updated Table 19-2, "PC8548E Pinout Listing," on page 90, to reflect that the TDO signal is not driven during HRSET* assertion. * Updated Section 24. "Ordering Information" on page 113 with Ver. 2.1.3 silicon information. * In Table 9-2, "MII Management AC Timing Specifications (At Recommended Operating Conditions with OVDD is 3.3V 5%)," on page 40, modified the fifth row from "MDC to MDIO delay tMDKHDX (16 x tptb_clk x 8) - 3 -- (16 x tptb_clk x 8) + 3" to "MDC to MDIO delay tMDKHDX (16 x tCCB x 8) - 3 -- (16 x tCCB x 8) + 3." * Updated Figure 19-1 : "Mechanical Dimensions and Bottom Surface Nomenclature of the HiCTE FC-CBGA and FC-PBGA with Full Lid" and figure notes. * Updated Table 19-1, "Package Parameters," on page 87. H 11/2010 * Updated Table 3-1. : Power dissipation for 1200/400Mhz part number at 110C change from 8.9W to 9.9W 12/2009 * In Section 5.1 "Power-On Ramp Rate" on page 18, added explanation that Power-On Ramp Rate is required to avoid falsely triggering ESD circuitry. * In Table 5-3 changed required ramp rate from 545 V/s for MVREF and VDD/XVDD/SVDD to 3500 V/s for MVREF and 4000 V/s for VDD. * In Table 5-3 deleted ramp rate requirement for XVDD/SVDD. * In Table 5-3 footnote 1 changed voltage range of concern from 0-400 mV to 20-500mV. * In Table 5-3 added footnote 2 explaining that VDD voltage ramp rate is intended to control ramp rate of AVDD pins. * In Table 3-1, added column to include Maximum at 125C and added note 6 to Maximum at 125C. G SubstantiveChange(s) 114 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table251. Revision Number F E Document Revision History (Continued) Date SubstantiveChange(s) 11/2009 * In Table 8-6, changed duty cycle specification from 40/60 to 35/75 for RX_CLK duty cycle * Updated tMDKHDX in Table 9-2 * Section 24. "Ordering Information" on page 113. Added a reference to Revision 2.1.2. * Updated Table 9-2 * Added Section 5.1 "Power-On Ramp Rate" on page 18 * In Table 2-1 and in Table 2-2 moved text, "MII management voltage" from LVDD/TVDD to OVDD, added "Ethernet management" to OVDD row of input voltage section. * In Table 4-1, added notes 7 and 8 to SYSCLK frequency and cycle time. * In Table 9-1, changed all instances of LVDD/OVDD to OVDD. * Modified Section 16. "High-Speed Serial Interfaces (HSSI)" on page 61, to reflect that there is only one SerDes. * Modified DDR clk rate min from 133 to 166 MHz. * Modified note in Table 20-1 * In Table 17-2, modified equations in Comments column, and changed all instances of "LO" to "L0." In addition, added note 8. * In Table 17-3, modified equations in Comments column, and in note 3, changed "TRX-EYE-MEDIAN-to-MAX-JITTER," to "TRX-EYE-MEDIAN-to-MAX-JITTER." * Modified Table 20-5 * Added a note on Section 4.1 "System Clock Timing" on page 15, to limit the SYSCLK to 100 MHz if the core frequency is less than 1200 MHz * In Table 19-2, added note 5 to LA[28:31]. * Added note to Table 20-5 02/2009 * Added new section, Section 19.2 "Mechanical Dimensions of the HiCTE FC-CBGA and FC-PBGA with Full Lid" on page 88 * Added new section, Section 3 "Those values are simulation results." on page 102 * Modify ordering information in Table 24-1 * Section 4.6 "Platform Frequency Requirements for PCI-Express and Serial RapidIO" on page 17. Changed minimum frequency equation to be 527 MHz for PCI x8. * In Table 4-1, added note 7. * Section 4.5 "Platform to FIFO restrictions" on page 17. Changed platform clock frequency to 4.2. * Section 8.1 "Enhanced Three-Speed Ethernet Controller (eTSEC) (10/100/1Gb Mbps) - GMII/MII/TBI/ RGMII/RTBI/RMII Electrical Characteristics" on page 25. Added MII after GMII and add `or 2.5V' after 3.3V. * In Table 8-2, modified table title to include GMII, MII, RMII, and TBI. * In Table 8-3 and Table 8-4, changed clock period minimum to 5.3. * In Table 8-4, added a note. * In Table 8-5, Table 8-6, Table 8-7, Table 8-8, and Table 8-9, removed subtitle from table title. * In Table 8-10 and Figure 8-10, changed all instances of PMA to TSECn. * In Section 8.2.5 "TBI Single-Clock Mode AC Specifications" on page 35. Replaced first paragraph. * In Table 8-13, Table 8-14, Figure 8-13, and Figure 8-15, changed all instances of REF_CLK to TSECn_TX_CLK. * In Table 10-1, changed all instances of OVDD to LVDD/TVDD. * In Table 9-2, changed MDC minimum clock pulse width high from 32 to 48 ns. * Added new section, Section 16. "High-Speed Serial Interfaces (HSSI)" on page 61 * Section 17.1 "DC Requirements for PCI Express SD_REF_CLK and SD_REF_CLK" on page 69. Added new paragraph. * Section 18.1 "DC Requirements for Serial RapidIO SD_REF_CLK and SD_REF_CLK" on page 78. Added new paragraph. * Section 22.3 "Decoupling Recommendations" on page 105. Modified the recommendation. 115 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E Table251. Revision Number Document Revision History (Continued) Date SubstantiveChange(s) D 05/2008 * Corrected ball composition in Table 19-1, "Package Parameters," on page 87 * Changed minimum core frequency, platform frequency in Table 20-1, "Processor Core Clocking Specifications," on page 99 and Table 20-5, "Frequency Options of SYSCLK with Respect to Memory Bus Speeds," on page 101 * Removed 1:1 support on Table 20-4, "e500 Core to CCB Clock Ratio," on page 101 * Removed MDM from Table 6-8, "DDR SDRAM Input AC Timing Specifications (At Recommended Operating Conditions)," on page 21 MDM is an Output * Figure 22-1 (AVDD_PLAT) * Figure 22-2 (AVDD_CORE) * Split Figure 22-3 (formerly called just "PLL Power Supply Filter Circuit") into three figures: the original (now specific for AVDD_PCI/AVDD_LBIU) and two new ones C 04/2008 Tc replaced by TJ 11/2007 * Adjusted maximum SYSCLK frequency down in Table 4-1 per device eratum GEN-13 * Clarified notes to Table 4-2 * Added Section 4.4 "PCI/PCI-X Reference Clock Timing" on page 16 * Clarified descriptions and added PCI/PCI-X to Table 5-2 * Removed support for 266 and 200 Mbps data rates per device erratum GEN-13 in Section 6. "DDR and DDR2 SDRAM" on page 18 * Clarified Note 4 of Table 6-9 * Clarified the reference clock used in Section 7.2 "DUART AC Electrical Specifications" on page 25 * Corrected VIH(min) in Table 8-1 * Corrected VIL(max) in Table 8-2 * Removed DC parameters from Table 8-3, Table 8-5, Table 8-6 Table 8-7, Table 8-14, Table 8-11, Table 8-13 and Table 814 * Corrected VIH(min) in Table 9-1, "MII Management DC Electrical Characteristics," on page 39 * Corrected tMDC(min) in Table 9-2, "MII Management AC Timing Specifications (At Recommended Operating Conditions with OVDD is 3.3V 5%)," on page 40 * Updated parameter descriptions for tLBIVKH1, tLBIVKH2, tLBIXKH1, and tLBIXKH2 in Table 10-4, "Local Bus Timing Parameters (BVDD = 2.5V): PLL Enabled," on page 43 and Table 10-5, "Local Bus Timing Parameters: PLL Bypassed," on page 45 * Updated parameter descriptions for tLBIVKH1, tLBIVKL2, tLBIXKH1, and tLBIXKL2 in Table 10-5, "Local Bus Timing Parameters: PLL Bypassed," on page 45. Note that tLBIVKL2 and tLBIXKL2 were previously labeled tLBIVKH2 and tLBIXKH2 * Added LUPWAIT signal to Figure 10-2 and Figure 10-4 * Added LGTA signal to Figure 10-4, Figure 10-6, Figure 10-5 and Figure 10-7 * Corrected LUPWAIT assertion in Figure 10-5 and Figure 10-7 * Clarified the PCI reference clock in Section 15.2 "PCI/PCI-X AC Electrical Specifications" on page 57 B * Updated Section 17.1 "DC Requirements for PCI Express SD_REF_CLK and SD_REF_CLK" on page 69 * Added Section 19.1 "Package Parameters" on page 87 * Added PBGA thermal information in Section 21.3 "Thermal for Version 2.1.1 and 2.1.2 Silicon FC-PBGA with Full Lid and Version 3.1.x Silicon with Stamped Lid" on page 103 * Updated Section 21.4 "Heat Sink Solution" on page 103 A 08/2007 Initial revision 116 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E TableofContents FEATURES ......................................................................................................... 1 DESCRIPTION .................................................................................................... 1 SCREENING ....................................................................................................... 1 1 PC8548EArchitectureGeneralOverview .......................................................... 2 1.1 2 Features Overview .............................................................................................................. 2 ElectricalCharacteristics .................................................................................. 9 2.1 Overall DC Electrical Characteristics ................................................................................... 9 2.2 Detailed Specification .......................................................................................................10 2.3 Applicable Documents ......................................................................................................10 2.4 Power Sequencing .............................................................................................................13 3 PowerCharacteristics..................................................................................... 14 4 InputClocks.................................................................................................... 15 5 4.1 System Clock Timing .........................................................................................................15 4.2 Real Time Clock Timing ..................................................................................................... 16 4.3 eTSEC Gigabit Reference Clock Timing ..............................................................................16 4.4 PCI/PCI-X Reference Clock Timing .....................................................................................16 4.5 Platform to FIFO restrictions .............................................................................................17 4.6 Platform Frequency Requirements for PCI-Express and Serial RapidIO ...........................17 4.7 Other Input Clocks ............................................................................................................17 RESETInitialization ........................................................................................ 17 5.1 6 7 8 Power-On Ramp Rate ........................................................................................................18 DDRandDDR2SDRAM .................................................................................. 18 6.1 DDR SDRAM DC Electrical Characteristics .........................................................................18 6.2 DDR SDRAM AC Electrical Characteristics .........................................................................20 DUART ........................................................................................................... 24 7.1 DUART DC Electrical Characteristics .................................................................................24 7.2 DUART AC Electrical Specifications ...................................................................................25 Ethernet:EnhancedThreeSpeedEthernet(eTSEC),MIIManagement ............ 25 8.1 Enhanced Three-Speed Ethernet Controller (eTSEC) (10/100/1Gb Mbps) - GMII/MII/TBI/ RGMII/RTBI/RMII Electrical Characteristics ..........................................25 8.2 FIFO, GMII, MII, TBI, RGMII, RMII, and RTBI AC Timing Specifications .............................27 i 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 9 10 EthernetManagementInterfaceElectricalCharacteristics ............................. 39 9.1 MII Management DC Electrical Characteristics .................................................................39 9.2 MII Management AC Electrical Specifications ...................................................................40 LocalBus........................................................................................................ 41 10.1 Local Bus DC Electrical Characteristics ............................................................................41 10.2 Local Bus AC Electrical Specifications ..............................................................................42 11 ProgrammableInterruptController................................................................ 51 12 JTAG .............................................................................................................. 51 13 14 12.1 JTAG DC Electrical Characteristics ...................................................................................51 12.2 JTAG AC Electrical Specifications ....................................................................................51 I2C .................................................................................................................. 53 13.1 I2C DC Electrical Characteristics ......................................................................................53 13.2 I2C AC Electrical Specifications ........................................................................................54 GPOUT/GPIN ...................................................................................................................................................56 14.1 15 16 17 GPOUT/GPIN Electrical Characteristics ..............................................................................56 PCI/PCIX........................................................................................................ 57 15.1 PCI/PCI-X DC Electrical Characteristics ............................................................................57 15.2 PCI/PCI-X AC Electrical Specifications .............................................................................57 HighSpeedSerialInterfaces(HSSI)................................................................. 61 16.1 Signal Terms Definition ................................................................................................... 61 16.2 SerDes Reference Clocks .................................................................................................62 16.3 SerDes Transmitter and Receiver Reference Circuits .....................................................69 PCIExpress..................................................................................................... 69 17.1 DC Requirements for PCI Express SD_REF_CLK and SD_REF_CLK ...................................69 17.2 AC Requirements for PCI Express SerDes Clocks ............................................................69 17.3 Clocking Dependencies ...................................................................................................70 17.4 Physical Layer Specifications ...........................................................................................70 17.5 Receiver Compliance Eye Diagrams ................................................................................76 ii 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 18 SerialRapidIO ................................................................................................ 77 18.1 DC Requirements for Serial RapidIO SD_REF_CLK and SD_REF_CLK ...............................78 19 20 21 22 18.2 AC Requirements for Serial RapidIO SD_REF_CLK and SD_REF_CLK ...............................78 18.3 Signal Definitions ............................................................................................................78 18.4 Equalization .....................................................................................................................79 18.5 Explanatory Note on Transmitter and Receiver Specifications .......................................79 18.6 Transmitter Specifications ..............................................................................................79 18.7 Receiver Specifications ................................................................................................... 83 18.8 Receiver Eye Diagrams ....................................................................................................85 18.9 Measurement and Test Requirements ...........................................................................86 PackageDescription....................................................................................... 87 19.1 Package Parameters ........................................................................................................ 87 19.2 Mechanical Dimensions of the HiCTE FC-CBGA and FC-PBGA with Full Lid ....................88 19.3 Pinout Listings .................................................................................................................90 Clocking ......................................................................................................... 99 20.1 Clock Ranges ...................................................................................................................99 20.2 CCB/SYSCLK PLL Ratio .....................................................................................................99 20.3 e500 Core PLL Ratio ......................................................................................................101 20.4 Frequency Options ........................................................................................................101 Thermal ....................................................................................................... 102 21.1 Thermal for Revision 2.0 Silicon HiCTE FC-CBGA with Full Lid ......................................102 21.2 Thermal for Revision 2.1 Silicon HiCTE FC-CBGA with Full Lid ......................................102 21.3 Thermal for Version 2.1.1 and 2.1.2 Silicon FC-PBGA with Full Lid and Version 3.1.x Silicon with Stamped Lid ......................................................................103 21.4 Heat Sink Solution .........................................................................................................103 SystemDesignInformation .......................................................................... 103 22.1 System Clocking ............................................................................................................103 22.2 CPower Supply Design ..................................................................................................104 22.3 CDecoupling Recommendations ...................................................................................105 22.4 CSerDes Block Power Supply Decoupling Recommendations ......................................106 22.5 CConnection Recommendations ...................................................................................106 22.6 CPull-Up and Pull-Down Resistor Requirements ..........................................................106 22.7 COutput Buffer DC Impedance .....................................................................................107 22.8 Configuration Pin Muxing .............................................................................................108 iii 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E 22.9 JTAG Configuration Signals ...........................................................................................108 22.10 Guidelines for High-Speed Interface Termination ........................................................111 22.11 Guideline for PCI Interface Temination ........................................................................112 22.12 Guideline for LBIU Parity Temination ...........................................................................112 23 Definitions ................................................................................................... 112 23.1 Life Support Applications ..............................................................................................112 24 OrderingInformation ................................................................................... 113 25 DocumentRevisionHistory .......................................................................... 114 iv 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E v 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016 PC8548E vi 0831O-HIREL-01/16 (c) e2v technologies (uk) limited 2016